Plural Doping Steps Patents (Class 438/306)
  • Patent number: 7157779
    Abstract: An operational withstand voltage of a high voltage MOS transistor is enhanced and a variation in a saturation current Idsat is suppressed. A gate insulation film is formed on a P-type semiconductor substrate. A gate electrode is formed on the gate insulation film. A first low impurity concentration source layer and a first low impurity concentration drain layer are formed by tilt angle ion implantation of double charge phosphorus ions (31P++) using the gate electrode as a mask. Then a second low impurity concentration source layer and a second low impurity drain layer are formed by tilt angle ion implantation of single charge phosphorus ions (31P+).
    Type: Grant
    Filed: October 7, 2004
    Date of Patent: January 2, 2007
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Eiji Nishibe, Toshihiro Hachiyanagi
  • Patent number: 7151028
    Abstract: According to one exemplary embodiment, a method for fabricating a floating gate memory cell on a substrate comprises a step of forming a first spacer adjacent to a source sidewall of a stacked gate structure, where the stacked gate structure is situated over a channel region in the substrate. The method further comprises forming a high energy implant doped region adjacent to the first spacer in a source region of the substrate. The method further comprises forming a recess in the source region, where a sidewall of the recess is situated adjacent to a source of the floating gate memory cell, and where forming the recess comprises removing the first spacer. The method further comprises forming a second spacer adjacent to the source sidewall of the stacked gate structure, where the second spacer extends to a bottom of the recess, and where the second spacer comprises plasma-grown oxide.
    Type: Grant
    Filed: November 4, 2004
    Date of Patent: December 19, 2006
    Assignee: Spansion LLC
    Inventors: Shenqing Fang, Rinji Sugino, Kuo-Tung Chang, Zhigang Wang, Kazuhiro Mizutani, Pavel Fastenko
  • Patent number: 7141852
    Abstract: A semiconductor device and fabricating method are provided, by which device drivability can be increased by forming second LDD regions after isolating first LDD regions from source/drain regions to prevent heavily doped impurities therein from diffusing into the first LDD regions and to provide stepped densities within the LDD regions. The method includes the steps of stacking oxide and conductive layers on a semiconductor substrate, forming a gate electrode by patterning the conductive layer, etching the exposed substrate to a first depth, forming a first LDD region in the etched substrate, forming a spacer on a sidewall of the gate electrode, forming a source/drain region in the substrate having the spacer, etching the substrate having the source/drain region to a second depth, and forming a second LDD region between the first LDD region and the source/drain region of the etched substrate.
    Type: Grant
    Filed: December 29, 2004
    Date of Patent: November 28, 2006
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Yung Pil Kim
  • Patent number: 7141455
    Abstract: A double diffused region (65), (75), (85) is formed in an epitaxial layer (20). The double diffused region is formed by first implanting light implant specie such as boron through an opening in a photoresist layer prior to a hard bake process. Subsequent to a hard bake process heavy implant specie such as arsenic can be implanted into the epitaxial layer. During subsequent processing such as LOCOS formation the double diffused region is formed. A dielectric layer (120) is formed on the epitaxial layer (20) and gate structures (130), (135) are formed over the dielectric layer (120).
    Type: Grant
    Filed: November 12, 2003
    Date of Patent: November 28, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Binghua Hu, Howard S. Lee, Henry L. Edwards, John Lin, Vladimir N. Bolkhovsky
  • Patent number: 7105414
    Abstract: A method of manufacturing a MOS transistor capable of suppressing a short channel effect by suppressing boron (B) ion diffusion in the MOS transistor. The method includes steps of: forming an impurity diffusion suppressing layer in an active region of a semiconductor substrate; forming an impurity layer containing boron ions in a lower portion of the impurity diffusion suppressing layer; and thermally treating on the substrate, wherein the impurity diffusion suppressing layer suppresses diffusion of the boron ions during the thermal treatment step.
    Type: Grant
    Filed: December 27, 2004
    Date of Patent: September 12, 2006
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Hak-Dong Kim
  • Patent number: 7094655
    Abstract: An implantation step of a dopant ion for forming source and drain regions (S and D) is divided into one implantation of a dopant ion for forming a p/n junction with a well region (3), and one implantation of a dopant ion that does not influence a position of the p/n junction between the source and drain regions (S and D) and the well region with a shallow implantation depth and a large implantation amount. After conducting an activation heat treatment of the dopant, a surface of the source/drain region is made into cobalt silicide 12, so that the source/drain region (S and D) can have a low resistance, and a p/n junction leakage can be reduced.
    Type: Grant
    Filed: June 30, 2005
    Date of Patent: August 22, 2006
    Assignee: Renesas Technology Corp.
    Inventors: Shinichi Fukada, Naotaka Hashimoto, Masanori Kojima, Hiroshi Momiji, Hiromi Abe, Masayuki Suzuki
  • Patent number: 7091556
    Abstract: The present invention provides, in one embodiment, a transistor (100). The transistor (100) comprises a doped semiconductor substrate (105) and a drain-extended well (115) having a curved region (125) and a straight region (130) surrounded by the doped semiconductor substrate (105). The drain-extended well (115) has an opposite dopant type as the doped semiconductor substrate (105). The transistor (100) further includes a centered source/drain (120) surrounded by the drain-extended well (115) and separated from an outer perimeter (135) of the drain-extended well (115). A separation in the curved region (145) is greater than a separation in the straight region (150). Other embodiments of the present invention include an integrated circuit (300) and a method of manufacturing a transistor (200).
    Type: Grant
    Filed: December 24, 2003
    Date of Patent: August 15, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Henry Litzmann Edwards, Sameer Pendharker
  • Patent number: 7091097
    Abstract: A method of fabricating a semiconductor device comprises forming a gate electrode over a substrate and forming deep amorphous regions within the substrate. And implanting dopants to form deep source/drain regions at a depth less than that of the deep amorphous regions, partially re-crystallizing portions of the deep amorphous regions to reduce their depth, and re-crystallizing the reduced amorphous regions to form activated final source/drain regions.
    Type: Grant
    Filed: September 3, 2004
    Date of Patent: August 15, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Eric N. Paton, Qi Xiang, Cyrus E. Tabery, Bin Yu, Robert B. Ogle
  • Patent number: 7081392
    Abstract: A method for fabricating a gate structure of a FET, having: (a) deposition and patterning of a sacrificial layer sequence on a semiconductor substrate and uncovering of a gate section; (b) implantation of a channel doping into the gate section; (c) deposition and patterning of spacers at the sidewalls of the sacrificial layer sequence with the formation of a gate section that is not covered by the spacers; (d) introduction of a mask material into the gate section that is not covered by the spacers; (e) removal of the spacers selectively with respect to the sacrificial layer sequence and mask material); (f) implantation of a halo doping in regions uncovered by the removed spacers; (g) removal of the mask material; (h) formation of a gate on the gate section; and (j) removal of the sacrificial layer sequence selectively with respect to the gate.
    Type: Grant
    Filed: July 23, 2004
    Date of Patent: July 25, 2006
    Assignee: Infineon Technologies AG
    Inventors: Gerhard Enders, Peter Voigt
  • Patent number: 7081393
    Abstract: An FET transistor has a gate disposed between a source and a drain; a gate dielectric layer disposed underneath the gate; and a spacer on a side of the gate. The gate dielectric layer is conventional oxide and the spacer has a reduced dielectric constant (k). The reduced dielectric constant (k) may be less than 3.85, or it may be less than 7.0 (˜nitride), but greater than 3.85 (˜oxide). Preferably, the spacer comprises a material which can be etched selectively to the gate dielectric layer. The spacer may be porous, and a thin layer is deposited on the porous spacer to prevent moisture absorption. The spacer may comprise a material selected from the group consisting of Black Diamond, Coral, TERA and Blok type materials. Pores may be formed in the spacer material by exposing the spacers to an oxygen plasma.
    Type: Grant
    Filed: May 20, 2004
    Date of Patent: July 25, 2006
    Assignee: International Business Machines Corporation
    Inventors: Michael P. Belyansky, Joyce C. Liu, Hsing Jen Wann, Richard Stephen Wise, Hongwen Yan
  • Patent number: 7074643
    Abstract: Silicon carbide semiconductor devices and methods of fabricating silicon carbide semiconductor devices are provided by successively etching a mask layer to provide windows for formation of a source region or a first conductivity type, a buried silicon carbide region of a second conductivity type opposite to the first conductivity type and a second conductivity type well region in a first conductivity type silicon carbide layer. The source region and the buried silicon carbide region are formed utilizing a first window of the mask layer. Then, the well region is formed utilizing a second window of the mask layer, the second window being provided by a subsequent etch of the mask layer having the first window.
    Type: Grant
    Filed: April 24, 2003
    Date of Patent: July 11, 2006
    Assignee: Cree, Inc.
    Inventor: Sei-Hyung Ryu
  • Patent number: 7074659
    Abstract: A method of monolithically fabricating a lateral double-diffused MOSFET (LDMOS) transistor having a source, drain, and a gate on a substrate, with a process flow that is compatible with a CMOS process flow.
    Type: Grant
    Filed: November 13, 2003
    Date of Patent: July 11, 2006
    Assignee: Volterra Semiconductor Corporation
    Inventors: Marco A. Zuniga, Budong You
  • Patent number: 7071069
    Abstract: A pocket implant process to reduce defects. We provide a gate structure, on a semiconductor substrate doped with a first conductivity type dopant. We perform a pocket amorphizing implantation procedure to form a pocket implant region adjacent to the gate structure, and an amorphous pocket region. Next, we perform a shallow amorphizing implant to form an amorphous shallow implant region. The amorphous shallow implant region being formed at a second depth above the amorphous pocket region. The substrate above the amorphous shallow implant region preferably remains crystalline. We perform a S/D implant procedure to form Deep S/D regions. We perform an anneal procedure preferably comprised of a first soak step and a second spike step to recrystalilze the amorphous shallow implant region and the amorphous pocket region, The defects created by the pocket implant are reduced by the shallow amorphous implant.
    Type: Grant
    Filed: December 22, 2003
    Date of Patent: July 4, 2006
    Assignee: Chartered Semiconductor Manufacturing, LTD
    Inventors: Chung Foong Tan, Hyeokjae Lee, Eng Fong Chor, Elgin Quek
  • Patent number: 7071067
    Abstract: A process is provided for forming an isolating nitride film to isolate gate polysilicon of a gate structure. Specifically, the process comprises providing a channel region defined by a source and drain region of a semiconductor substrate having a gate structure comprising an isolating oxide layer positioned on the channel region and the polysilicon layer positioned on the oxide layer. More specifically, the process comprises the steps of forming the nitrogen implanted regions over the semiconductor substrate by implanting nitrogen atoms into those regions and growing spacers from exposed portions of the polysilicon layer. During the spacer growth, the spacer grows vertically as well as laterally extending under the polysilicon edges. Diffusion of nitrogen atoms to the substrate surface forms silicon nitride under the gate edges, which minimizes current leakages into gate polysilicon.
    Type: Grant
    Filed: September 17, 1999
    Date of Patent: July 4, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Aftab Ahmad
  • Patent number: 7064040
    Abstract: An implantation step of a dopant ion for forming source and drain regions (S and D) is divided into one implantation of a dopant ion for forming a p/n junction with a well region (3), and one implantation of a dopant ion that does not influence a position of the p/n junction between the source and drain regions (S and D) and the well region with a shallow implantation depth and’ a large implantation amount. After conducting an activation heat treatment of the dopant, a surface of the source/drain region is made into cobalt silicide 12, so that the source/drain region (S and D) can have a low resistance, and a p/n junction leakage can be reduced.
    Type: Grant
    Filed: June 30, 2005
    Date of Patent: June 20, 2006
    Assignees: Hitachi, Ltd., Hitachi ULSI Systems Co., Ltd.
    Inventors: Shinichi Fukada, Naotaka Hashimoto, Masanori Kojima, Hiroshi Momiji, Hiromi Abe, Masayuki Suzuki
  • Patent number: 7063991
    Abstract: Disclosed herein are various methods of determining characteristics of doped regions on device wafers, and a system for accomplishing same. In one illustrative embodiment, the method includes providing a device substrate comprising a plurality of masked areas, a plurality of unmasked areas, and at least one doped region formed in the substrate, determining a ratio between the unmasked areas and the masked areas for the device substrate, illuminating an area of the device substrate comprising the masked areas, the unmasked areas, and at least one doped region, and measuring an induced surface photovoltage of the device substrate while accounting for the ratio of the unmasked areas and the masked areas of the device substrate.
    Type: Grant
    Filed: July 28, 2004
    Date of Patent: June 20, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Zhiyong Zhao, Christian Krueger
  • Patent number: 7060574
    Abstract: In a method of manufacturing a buried channel type transistor, a trench is formed at a surface portion of a substrate. A first and a second threshold voltage control regions are formed at portions of the substrate beneath a bottom face of the trench and adjacent to a sidewall of the trench, respectively. A gate electrode filling the trench is formed. Source/drain regions are formed at portions of the substrate adjacent to the sidewall of the gate electrode. Stopper regions are formed at portions of the substrate beneath the source/drain regions and beneath the first and second threshold voltage control regions, respectively. The buried channel type transistor has a high breakdown voltage between the source/drain regions although a threshold voltage thereof is low.
    Type: Grant
    Filed: May 5, 2004
    Date of Patent: June 13, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ji-Young Kim, Chang-Sub Lee
  • Patent number: 7056814
    Abstract: Methods of manufacturing MOS transistors which are capable of suppressing a short channel effect are disclosed. The short channel effect is suppressed by forming source/drain regions of a shallow junction and sufficiently doping a gate. An illustrated method includes: forming a gate insulating layer and a gate on a semiconductor substrate of a first conductivity type; forming lightly doped drain regions of a second conductivity type within the substrate at opposite sides of the gate; forming spacers on side walls of the gate; forming an insulating buffer layer; exposing a top surface of the gate by performing a planarization process on the insulating buffer layer; doping the gate by implanting impurity ions of the second conductivity type into the top surface of the gate; removing the insulating buffer layer; and forming source/drain regions of the second conductivity type within the substrate at opposite sides of the spacers.
    Type: Grant
    Filed: December 22, 2004
    Date of Patent: June 6, 2006
    Assignee: DongbuAnam Semiconductor Inc.
    Inventor: Hak-Dong Kim
  • Patent number: 7056774
    Abstract: Disclosed is a method of manufacturing a semiconductor device. A plurality of device separation regions are formed in an SOI layer of an SOI substrate, a desired impurity is implanted into a body portion of an Si active layer region, and therereafter a gate electrode is formed with a gate insulation film therebetween. Thereafter, an impurity is implanted into the Si active layer region to form extension portions of source/drain portions, and then an impurity different in polarity from the impurity in the source/drain portions is halo-implanted to form a reverse-characteristic layer. In the halo implantation, the range of projection is set to reach the inside of a buried oxide film. With this configuration, in a fully depleted SOI-MOSFET or the like provided with a thin film SOI layer, it is made possible to simultaneously achieve an improvement of roll-off characteristic and a reduction in parasitic resistance and to secure a sufficient driving capability.
    Type: Grant
    Filed: April 15, 2005
    Date of Patent: June 6, 2006
    Assignee: Sony Corporation
    Inventor: Kazuhide Koyama
  • Patent number: 7052965
    Abstract: MOSFETs with pocket regions are fabricated. A gate electrode layer is formed on a semiconductor substrate; and lightly doped drain regions are formed in the semiconductor substrate adjacent the gate electrode layer. A blocking pattern is formed on the semiconductor substrate where the gate electrode layer is formed. The blocking pattern is adjacent and spaced apart from the gate electrode layer a predetermined distance and exposes portions of the semiconductor substrate adjacent sidewalls of the gate electrode layer. Pocket regions are formed in the semiconductor substrate by implanting impurity ions using the gate electrode layer and the blocking pattern as an ion implantation mask.
    Type: Grant
    Filed: February 17, 2004
    Date of Patent: May 30, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chang-hyun Park, Young-gun Ko, Chang-bong Oh, Hee-sung Kang, Sang-jin Lee
  • Patent number: 7045433
    Abstract: A method of manufacturing a semiconductor device includes forming a gate, source/drain extensions, buffer regions, and source/drain regions. The gate is formed over a semiconductor layer, and the source/drain extensions are formed within the semiconductor layer and adjacent the gate. The buffer regions are formed within first amorphous implant regions, and the source/drain regions are formed within second amorphous implant regions. The buffer regions and the source/drain regions are activated using solid-phase epitaxy whereby sidewalls of the activated buffer regions and the activated source/drain regions are substantially vertical.
    Type: Grant
    Filed: April 6, 2004
    Date of Patent: May 16, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Srinath Krishnan
  • Patent number: 7045413
    Abstract: Methods of manufacturing a semiconductor integrated circuit using selective disposable spacer technology and semiconductor integrated circuits manufactured thereby: The method includes forming a plurality of gate patterns on a semiconductor substrate. Gap regions between the gate patterns include first spaces having a first width and second spaces having a second width greater than the first width. Spacers are formed on sidewalls of the second spaces, and spacer layer patterns filling the first spaces are also formed together with the spacers. The spacers are selectively removed to expose the sidewalls of the first spaces. As a result, the semiconductor integrated circuit includes wide spaces enlarged by the removal of the spacers and narrow and deep spaces filled with the spacer layer patterns.
    Type: Grant
    Filed: February 5, 2004
    Date of Patent: May 16, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Eun Lee, Yun-Heub Song
  • Patent number: 7045427
    Abstract: A method for fabricating a transistor on a semiconductor substrate includes varying a polysilicon doping level near a first and second edge of a diffusion region with a polysilicon doping level of a center region of a polysilicon region.
    Type: Grant
    Filed: April 22, 2004
    Date of Patent: May 16, 2006
    Assignee: Altera Corporation
    Inventors: Peter McElheny, Priya Selvaraj, Yow-Juang (Bill) Liu, Francois Gregoire
  • Patent number: 7034360
    Abstract: Provided is a high voltage transistor in a flash memory device comprising: a source/drain junction of a DDD structure consisting of a high-concentration impurity region and a low-concentration impurity region surrounding the high-concentration impurity region, the high-concentration impurity region being formed in parallel with a gate electrode at a distance spaced by a location in which a contact hole is formed, and having a rectangular shape whose width is the same as or wider than that of the contact hole and whose length is the same as or narrower than that of an active region through which the gate electrode passes. Accordingly, a current density to pass the gate electrode neighboring the contact hole portion and a current density to pass the gate electrode at a portion where the contact hole cannot be formed become uniform. A uniform and constant saturation current can be obtained regardless of the number of the contact hole.
    Type: Grant
    Filed: June 28, 2004
    Date of Patent: April 25, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventors: Yong Wook Kim, Dong Kee Lee, Hee Hyun Chang
  • Patent number: 7033895
    Abstract: In a metal-oxide semiconductor (MOS) transistor with an elevated source/drain structure and in a method of fabricating the MOS transistor with the elevated source/drain structure using a selective epitaxy growth (SEG) process, a source/drain extension junction is formed after an epi-layer is formed, thereby preventing degradation of the source/drain junction region. In addition, the source/drain extension junction is partially overlapped by a lower portion of the gate layer, since two gate spacers are formed and two elevated source/drain layers are formed in accordance with the SEG process. This mitigates the short channel effect and reduces sheet resistance in the source/drain layers and the gate layer.
    Type: Grant
    Filed: April 13, 2004
    Date of Patent: April 25, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seung-hwan Lee, Moon-han Park, Hwa-sung Rhee, Ho Lee, Jae-yoon Yoo
  • Patent number: 7030464
    Abstract: A technology of restraining junction leakage in a semiconductor device is to be provided. There is provided a semiconductor device provided with a semiconductor substrate, a gate electrode 9 formed on the semiconductor substrate, and a source/drain region formed beside the gate electrode, wherein the source/drain region 4 comprises a first impurity diffusion region including a first P-type impurity and located in the proximity of a surface of the semiconductor substrate, and a second P-type impurity diffusion region located below the first impurity diffusion region and including a second P-type impurity having a smaller diffusion coefficient in the semiconductor substrate than the first P-type impurity.
    Type: Grant
    Filed: March 3, 2004
    Date of Patent: April 18, 2006
    Assignee: NEC Electronics Corporation
    Inventors: Yuri Masuoka, Naohiko Kimizuka
  • Patent number: 7022577
    Abstract: The present invention relates to a method of fabricating a semiconductor device. In specific embodiments, the method comprises providing a semiconductor substrate, and ion implanting dopant impurities over a time period into the semiconductor device by varying an ion energy of implanting the dopant impurities over the time period. The dopant impurities are activation annealed to form one or more doped regions extending below the surface of the semiconductor substrate. The ion energy may be varied continuously or in a stepwise manner over the time period, and may also be varied in a cyclical manner.
    Type: Grant
    Filed: June 8, 2004
    Date of Patent: April 4, 2006
    Assignee: Silterra Malaysia Sdn. Bhd.
    Inventor: Narayanan Meyyappan
  • Patent number: 7018914
    Abstract: A highly integrated semiconductor device operates at a high speed due to low resistance at the gate electrode and minimal parasitic capacitance between the gate electrode and substrate. A gate pattern is formed on a substrate, and an insulating layer is formed over the substrate including over the gate pattern. The thickness of the insulating layer is reduced until the upper surface thereof beneath the level of the upper surface of the gate electrode. A conductive layer is then formed on the substrate, and is anisotropically etched to thereby form wings constituting a first spacer on upper sidewalls of the gate pattern. Then, the insulating layer is etched to leave a portion thereof beneath the wings. This remaining portion of the insulating layer constitutes a capacitance preventative layer that serves as a measure against the subsequent forming of a parasitic capacitor when source/drain electrodes are formed by implanting ions into the substrate and heat-treating the same.
    Type: Grant
    Filed: February 19, 2004
    Date of Patent: March 28, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chan-Hyung Cho, Sung-Gyu Park
  • Patent number: 7015095
    Abstract: Electrically conductive material is introduced into interspaces between the word lines (2) and is partially removed using a mask (6) in such a way that residual portions (7) of the conductive material in each case fill a section of the relevant interspace and produce an electrical contact with source/drain regions (15). With further portions of the conductive material, it is possible to form alignment marks for the fabrication process.
    Type: Grant
    Filed: May 27, 2004
    Date of Patent: March 21, 2006
    Assignee: Infineon Technologies AG
    Inventors: Mathias Krause, Christoph Ludwig, Jens-Uwe Sachse, Joachim Deppe, Ralf Richter, Christoph Kleint, Ricardo Mikalo
  • Patent number: 7001818
    Abstract: By suppressing a short-channel effect of a MIS field-effect transistor and reducing a fringing capacitance of a gate, a signal delay in the transistor can be shortened. The MIS field-effect transistor is formed d by forming a side-wall spacer from a dielectric having a large dielectric constant and then forming an impurity diffusion layer area with the side-wall spacer used as an introduction end in an ion implantation process to introduce impurities. In this case, the side wall of the side-wall spacer having the large dielectric constant has an optimum film thickness in the range from 5 nm to 15 nm, which is required for achieving a large driving current. On the other hand, a side-wall spacer on an outer side is made of a silicon-dioxide film, which is a dielectric having a small dielectric constant.
    Type: Grant
    Filed: April 16, 2004
    Date of Patent: February 21, 2006
    Assignee: Hitachi, Ltd.
    Inventors: Ryuta Tsuchiya, Masatada Horiuchi
  • Patent number: 6989309
    Abstract: A high voltage MOS transistor is provided that is compatible with low-voltage, sub-micron CMOS and BiCMOS processes. The high voltage transistor of the present invention has dopants that are implanted into the substrate prior to formation of the epitaxial layer. The implanted dopants diffuse into the epitaxial layer from the substrate during the formation of the epitaxial layer and subsequent heating steps. The implanted dopants increase the doping concentration in a lower portion of the epitaxial layer. The implanted dopants may diffuse father into the epitaxial layer than dopants in the buried layer forming an up-retro well that prevents vertical punch-through at high operating voltages for thin epitaxial layers. Particularly, a P-type dopant may diffuse farther up into an epitaxial layer than an N-type dopant to form an up-retro well.
    Type: Grant
    Filed: June 1, 2004
    Date of Patent: January 24, 2006
    Assignee: Linear Technology Corporation
    Inventor: Francois Hebert
  • Patent number: 6977417
    Abstract: An impurity-diffused layer having an extension structure is formed first by implanting Sb ion as an impurity for forming a pocket region; then by implanting N as a diffusion-suppressive substance so as to produce two peaks in the vicinity of the interface with a gate electrode and at an amorphous/crystal interface which serves as an defect interface generated by the impurity in the pocket region; and by carrying out ion implantations for forming an extension region and deep source and drain regions.
    Type: Grant
    Filed: June 20, 2003
    Date of Patent: December 20, 2005
    Assignee: Fujitsu Limited
    Inventors: Youichi Momiyama, Kenichi Okabe, Takashi Saiki, Hidenobu Fukutome
  • Patent number: 6974753
    Abstract: Apparatus and Methods for the self-alignment of separated regions in a lateral MOSFET of an integrate circuit. In one embodiment, a method comprising, forming a relatively thin dielectric layer on a surface of a substrate. Forming a first region of relatively thick material having a predetermined lateral length on the surface of the substrate adjacent the relatively thin dielectric layer. Implanting dopants to form a top gate using a first edge of the first region as a mask to define a first edge of the top gate. Implanting dopants to form a drain contact using a second edge of the first region as a mask to define a first edge of the drain contact, wherein the distance between the top gate and drain contact is defined by the lateral length of the first region.
    Type: Grant
    Filed: September 24, 2004
    Date of Patent: December 13, 2005
    Assignee: Intersil Americas, Inc.
    Inventor: James D. Beasom
  • Patent number: 6963109
    Abstract: A semiconductor device has a gate electrode formed on a P type semiconductor substrate via gate oxide films. A first low concentration (LN type) drain region is made adjacent to one end of the gate electrode. A second low concentration (SLN type) drain region is formed in the first tow concentration drain region so that the second low concentration drain region is very close to the outer boundary of the second low concentration drain region and has at least a higher impurity concentration than the first low concentration drain region. A high concentration (N+ type) source region is formed adjacent to the other end of said gate electrode, and a high concentration (N+ type) drain region is formed in the second low concentration drain region having the designated space from one end of the gate electrode.
    Type: Grant
    Filed: August 31, 2001
    Date of Patent: November 8, 2005
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Shuichi Kikuchi, Eiji Nishibe
  • Patent number: 6958279
    Abstract: A gate insulator film and a gate electrode are formed on a semiconductor substrate, and then a layered stack of a SiO2 film and a SiN film is formed on the entire surface. Subsequently, sidewalls made of polysilicon film are formed adjacent to the gate electrode via the layered stack of the SiO2 film and the SiN film. Then, using as a mask the gate electrode, portions of the layered stack adjacent to the gate electrode, and the sidewalls, an ion dopant is implanted into a device active region to thereby form source/drains therein, and the sidewalls are then removed. At this stage, since the gate insulator film is completely covered with the layered stack, the gate insulator film is not ablated or retreated even on a device isolation insulator film.
    Type: Grant
    Filed: August 18, 2003
    Date of Patent: October 25, 2005
    Assignee: Fujitsu Limited
    Inventor: Manabu Kojima
  • Patent number: 6958543
    Abstract: Semiconductor equipment includes a semiconductor substrate, a plurality of first type semiconductor devices having first and second device regions, a plurality of second type semiconductor devices having the first and second device regions, and upper and lower layer wirings disposed on the substrate. The upper and lower layer wirings electrically connect a plurality of first and second device regions together with a parallel connection, respectively. The lower layer wiring includes a first contact for connecting to the first device region and a second contact for connecting to the second device region. The first contact is concentrated into a predetermined area. The second contact surrounds the first contact. The upper layer wiring disposed on the predetermined area provides a pad area for connecting to an external circuit.
    Type: Grant
    Filed: October 14, 2003
    Date of Patent: October 25, 2005
    Assignee: Denso Corporation
    Inventor: Yoshiaki Nakayama
  • Patent number: 6955970
    Abstract: A power MOSFET die with a minimized figure of merit has of a planar stripe MOSFET geometry in which parallel diffused bases (or channels) are formed by implantation and diffusion of impurities through parallel elongated and spaced polysilicon stripes wherein the polysilicon line width is from about 3.2 to 3.4 microns, preferably 3.4 microns; the polyline spacing is from about 1 to 4 microns, preferably 1.5 microns and the diffused bases are spaced by greater than about 0.8 microns. The polysilicon stripes act as masks to the sequential formation of first base stripes, the source stripes and second higher concentration base stripes which are deeper than the first base stripes. Insulation side wall spacers are used to define a contact etch for the source contact. The above design geometry is used for both the forward control MOSFET and the synchronous rectifier MOSFET of a buck converter circuit.
    Type: Grant
    Filed: November 28, 2000
    Date of Patent: October 18, 2005
    Assignee: International Rectifier Corporation
    Inventor: Thomas Herman
  • Patent number: 6943085
    Abstract: A method of manufacturing a MOS transistor is provided. A substrate having a gate structure thereon is provided. A first spacer is formed on the sidewall of the gate structure. A pre-amorphization implantation is carried out to amorphize a portion of the substrate. A doped source/drain extension region is formed in the substrate on each side of the first spacer. A second spacer is formed on the sidewall of the first spacer. A doped source/drain region is formed in the substrate on each side of the second spacer and then a pre-annealing operation is performed. Thereafter, a solid phase epitaxial process is carried out to re-crystallize the amorphized portion of the substrate and activate the doped source/drain extension region and the doped source/drain region to form a source/drain terminal. Finally, a post-annealing operation is performed.
    Type: Grant
    Filed: September 17, 2003
    Date of Patent: September 13, 2005
    Assignee: United Microelectronics Corp.
    Inventors: Yu-Ren Wang, Chin-Cheng Chien, Hsiang-Ying Wang, Neng-Hui Yang
  • Patent number: 6943396
    Abstract: As disclosed herein, an electrostatic discharge (ESD) protection circuit is provided for an integrated circuit including a semiconductor substrate. The ESD protection circuit includes a plurality of active devices formed in the semiconductor substrate, the active devices being formed by a process including a plurality of steps carried out to form, at the same time, a plurality of active devices having a function other than ESD protection. For example, the ESD circuit may include an array of vertical transistors formed according to a process including many of the steps used to form, at the same time, vertical transistors of a DRAM array. Also disclosed is the formation of an ESD circuit in an “unusable” area of a semiconductor chip, such as under a bond pad, land or under bump metallization of the chip.
    Type: Grant
    Filed: June 17, 2003
    Date of Patent: September 13, 2005
    Assignee: Infineon Technologies AG
    Inventor: Grant McNeil
  • Patent number: 6929995
    Abstract: A polysilicon layer and a first patterned photoresist layer are formed on a substrate. An ultraviolet curing process is performed to cure the first patterned photoresist layer. Then, a gate structure is formed by using the first patterned photoresist layer as a hard mask. A second patterned photoresist layer is formed on the substrate. The second patterned photoresist layer, the cured remaining first patterned photoresist layer and the gate form two openings alongside the gate structure. Finally, via the openings, two consecutive ion implantation processes are performed to form a double diffuse drain (DDD) structure.
    Type: Grant
    Filed: November 27, 2003
    Date of Patent: August 16, 2005
    Assignee: United Microelectronics Corp.
    Inventor: Chin-Long Chen
  • Patent number: 6927137
    Abstract: A method of forming a retrograde well in a transistor is provided. A transistor structure having a substrate, a gate, and a gate oxide layer between the substrate and the gate is formed. The substrate includes a channel region located generally below the gate. A first dopant is implanted into the channel region. A second dopant is implanted into the substrate to form a doped source region and a doped drain region. A third dopant is implanted into the gate oxide layer. A source/drain anneal is performed to form a source and a drain in the doped source region and the doped drain region, respectively. The source/drain anneal causes a portion of the first dopant in the channel region to be attracted by the third dopant into the gate oxide layer.
    Type: Grant
    Filed: December 1, 2003
    Date of Patent: August 9, 2005
    Assignee: Texas Instruments Incorporated
    Inventors: Srinivasan Chakravarthi, Pr Chidambaram, Robert C. Bowen, Haowen Bu
  • Patent number: 6919252
    Abstract: A MOS semiconductor device formed on a substrate of a first conductivity type is provided. The device includes active zones for elementary active elements, and at least one inactive zone suitable for electric signal input or output. The substrate is connected with the drain terminal of the device, and at least one of the elementary active elements includes a body region of a second conductivity type that is connected with the source terminal of the device. The at least one inactive zone includes a semiconductor region of the second conductivity type formed in the substrate and adjacent a surface of the substrate, a conductive layer located over the semiconductor region, and a silicon oxide layer located between the semiconductor region and the conductive layer. The silicon oxide layer has alternating first zones and second zones that are contiguous to each other, with the first zones having a greater thickness than the second zones.
    Type: Grant
    Filed: May 21, 2004
    Date of Patent: July 19, 2005
    Assignee: STMicroelectronics S.r.l.
    Inventors: Antonino Schillaci, Paola Maria Ponzio
  • Patent number: 6916716
    Abstract: Various methods of fabricating halo regions are disclosed. In one aspect, a method of manufacturing is provided that includes forming a symmetric transistor and an asymmetric transistor on a substrate. A first mask is formed on the substrate with a first opening to enable implantation formation of first and second halo regions proximate first and second source/drain regions of the symmetric transistor. First and second halo regions of a first dosage are formed beneath the first gate by implanting off-axis through the first opening. A second mask is formed on the substrate with a second opening to enable implantation formation of a third halo region proximate a source region of the second asymmetric transistor while preventing formation of a halo region proximate a drain region of the asymmetric transistor. A third halo region of a second dosage greater than the first dosage is formed by implanting off-axis through the second opening.
    Type: Grant
    Filed: October 24, 2003
    Date of Patent: July 12, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Scott Goad, James C. Pattison, Edward Ehrichs
  • Patent number: 6908820
    Abstract: Disclosed is a method of manufacturing a semiconductor device. A plurality of device separation regions are formed in an SOI layer of an SOI substrate, a desired impurity is implanted into a body portion of an Si active layer region, and therereafter a gate electrode is formed with a gate insulation film therebetween. Thereafter, an impurity is implanted into the Si active layer region to form extension portions of source/drain portions, and then an impurity different in polarity from the impurity in the source/drain portions is halo-implanted to form a reverse-characteristic layer. In the halo implantation, the range of projection is set to reach the inside of a buried oxide film. With this configuration, in a fully depleted SOI-MOSFET or the like provided with a thin film SOI layer, it is made possible to simultaneously achieve an improvement of roll-off characteristic and a reduction in parasitic resistance and to secure a sufficient driving capability.
    Type: Grant
    Filed: March 18, 2003
    Date of Patent: June 21, 2005
    Assignee: Sony Corporation
    Inventor: Kazuhide Koyama
  • Patent number: 6900520
    Abstract: A semiconductor element includes a substrate and a first DMOS element formed on a first portion of the substrate. The DMOS element includes a gate electrode that is formed to have slanted side walls. The semiconductor element also includes a first MOS element formed on a second portion of the substrate that is separate from the first portion.
    Type: Grant
    Filed: November 9, 2001
    Date of Patent: May 31, 2005
    Assignee: Fairchild Korea Semiconductor Ltd.
    Inventor: Suk-Kyun Lee
  • Patent number: 6900101
    Abstract: LDMOS transistor devices and fabrication methods are provided, in which additional dopants are provided to region of a substrate near a thick dielectric between the channel and the drain to reduce device resistance without significantly impacting breakdown voltage. The extra dopants are added by implantation prior to formation of the thick dielectric, such as before oxidizing silicon in a LOCOS process or following trench formation and before filling the trench in an STI process.
    Type: Grant
    Filed: June 13, 2003
    Date of Patent: May 31, 2005
    Assignee: Texas Instruments Incorporated
    Inventor: John Lin
  • Patent number: 6887763
    Abstract: A method for forming a lightly doped drain (LDD) field effect transistor uses very thin first sidewall spacers over the gate sidewalls, in which annealing/oxidation of the sidewall spacers results in (a) the rounding of corner portions of the gate structure sidewalls adjacent the gate oxide, and (b) a very low thermal consumption comprising a small portion of the total thermal budget. Secondary sidewall spacers of greater width are then formed to act as offsets in the introduction of N-type dopants into the substrate to form source and drain contact regions. The method may be varied to accommodate various design configurations and size scaling.
    Type: Grant
    Filed: August 13, 2002
    Date of Patent: May 3, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Salman Akram, Mohamed A. Ditali
  • Patent number: 6875658
    Abstract: A high-voltage device with improved punch through voltage. A semiconductor silicon substrate has a high-voltage device region on which a gate structure is patterned. A lightly doped region is formed in the substrate and lateral to the gate structure. A spacer is formed on the sidewall of the gate structure. A heavily doped region is formed in the lightly doped region and lateral to the spacer. A lateral distance is kept between the spacer and the heavily doped region.
    Type: Grant
    Filed: May 29, 2003
    Date of Patent: April 5, 2005
    Assignee: Vanguard International Semiconductor Corporation
    Inventor: Hsiao-Ying Yang
  • Patent number: 6872628
    Abstract: A gate structure (4), an LDD region (6) and a sidewall (7) are provided in this order. Arsenic ions (8) are thereafter implanted into the upper surface of a silicon substrate (1) by tilted implantation. The next step is annealing for forming an MDD region (9) in the upper surface of the silicon substrate (1). The MDD region (9) and the gate structure (4) do not overlap one another in plan view. Further, the MDD region (9) formed into a depth shallower than that of the LDD region (6) is higher in concentration than the LDD region (6). Thereafter a source/drain region (11) higher in concentration than the MDD region (9) is provided by vertical implantation into a depth greater than that of the LDD region (6).
    Type: Grant
    Filed: September 18, 2002
    Date of Patent: March 29, 2005
    Assignee: Renesas Technology Corp.
    Inventors: Masayoshi Shirahata, Yukio Nishida
  • Patent number: 6869842
    Abstract: A non-volatile memory cell having a symmetric cell structure is disclosed. The non-volatile memory cell includes a substrate, a tunnel oxide layer, two floating gates, a dielectric layer, a plurality of spacers, a control gate, and two split gates. The substrate has at least two sources and a drain that is located between the sources. The floating gates are formed on the tunneling oxide layer, and each of floating gates is located between each source and the drain. The dielectric layer is formed on the floating gates. The control gate is formed over the drain and is between the floating gates. The split gates are located adjacent to outward sidewalls of the floating gates, respectively. Therefore, each of the split gates is opposite to the control gate through each of the floating gates.
    Type: Grant
    Filed: December 12, 2003
    Date of Patent: March 22, 2005
    Assignee: Powerchip Semiconductor Corp.
    Inventors: Ko-Hsing Chang, Cheng-Yuan Hsu