Plural Doping Steps Patents (Class 438/306)
  • Publication number: 20090212375
    Abstract: In a well region, an irregular structure is formed in a gate width direction, and a gate electrode is formed in concave portions and on top surfaces of convex portions via an insulating film. Upper and lower source regions are formed on one side of the gate electrode in a gate length direction, and upper and lower drain regions are formed on the other side thereof. By thus forming the lower source and drain regions in the source and drain regions, current concentration occurring in an upper portion of a channel region, which is generated as the gate length becomes shorter, may be suppressed and a current may be allowed to flow uniformly in the entire channel region, and hence an effective gate width is made wider owing to the irregular structure formed in the well region. Accordingly, an on-resistance of a semiconductor device is reduced to enhance driving performance.
    Type: Application
    Filed: February 25, 2009
    Publication date: August 27, 2009
    Inventors: Tomomitsu Risaki, Yuichiro Kitajima
  • Patent number: 7579651
    Abstract: In a semiconductor device of the present invention, a thin gate oxide film is formed on a P-type diffusion layer. On the gate oxide film, a gate electrode is formed. N-type diffusion layers are formed in the P-type diffusion layer, and the N-type diffusion layer is used as a drain region. The N-type diffusion layer is diffused in a ? shape at least below the gate electrode. With the structure described above, a diffusion region of the N-type diffusion layer expands and comes to be a low-concentration region in the vicinity of a surface of an epitaxial layer. Thus, it is possible to reduce an electric field from the gate electrode and an electric field between a source and a drain.
    Type: Grant
    Filed: March 29, 2006
    Date of Patent: August 25, 2009
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Seiji Otake, Shuichi Kikuchi
  • Publication number: 20090209079
    Abstract: A method for manufacturing a semiconductor device includes forming a diffusion layer on a silicon substrate by doping an impurity of a first conductivity type into a region of a second conductivity type opposite to the first conductivity type and performing a heat treatment; implanting nitrogen or fluorine ions into the diffusion layer; and irradiating carbon dioxide gas laser light to the diffusion layer after the implanting.
    Type: Application
    Filed: January 21, 2009
    Publication date: August 20, 2009
    Applicant: ELPIDA MEMORY, INC.
    Inventors: Kiyonori OYU, Kensuke Okonogi, Akio Shima
  • Patent number: 7573098
    Abstract: An NMOS transistor includes a semiconductor substrate of a first conductivity type, first and second well regions of a second conductivity type formed spaced apart in the substrate, a conductive gate formed over the region between the spaced apart first and second well regions where the region of the substrate between the spaced apart first and second well regions forms the channel region, dielectric spacers formed on the sidewalls of the conductive gate, first and second heavily doped source and drain regions of the second conductivity type formed in the semiconductor substrate and being self-aligned to the edges of the dielectric spacers. The first and second well regions extend from the respective heavily doped regions through an area under the spacers to the third well region. The first and second well regions bridge the source and drain regions to the channel region of the transistor formed by the third well.
    Type: Grant
    Filed: August 2, 2007
    Date of Patent: August 11, 2009
    Assignee: Micrel, Inc.
    Inventor: Martin Alter
  • Patent number: 7566934
    Abstract: A semiconductor device is formed on an SOI substrate having a silicon layer formed on an insulating layer. A transistor element is formed in the silicon layer of the SOI substrate. An isolation film for electrically isolating the transistor element is formed in the silicon layer of the SOI substrate by LOCOS so that a parasitic transistor is formed. Impurity diffusion regions are disposed at an end of the isolation film and at a boundary of a source region of the transistor element with a channel forming region. The impurity diffusion regions have a polarity opposite to that of the source region. A current path due to a parasitic channel in the parasitic transistor is suppressed.
    Type: Grant
    Filed: February 16, 2007
    Date of Patent: July 28, 2009
    Assignee: Seiko Instruments Inc.
    Inventor: Hisashi Hasegawa
  • Patent number: 7564056
    Abstract: Embodiments relate to a method for manufacturing a semiconductor device. In embodiments, the method may include forming a gate electrode on the semiconductor substrate, forming a pattern having a groove at the edge of the gate electrode and performing an etching process using the pattern as a mask, so that a groove extending from the edge of the gate electrode to LDD is formed, forming an ion diffusion barrier on the substrate having the gate electrode and the groove obtained through the previous step, implanting low-density ions onto the diffusion barrier, forming a spacer at edge of the gate electrode, and implanting high-density ions onto the substrate using the spacer and the gate electrode. A problem that may be caused by ion-implantation or diffusion process may be solved so that the hot carrier effects are improved, thereby improving the reliability of the semiconductor device.
    Type: Grant
    Filed: December 26, 2006
    Date of Patent: July 21, 2009
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Young Suk Ko
  • Patent number: 7560324
    Abstract: Drain extended MOS transistors (52) and fabrication methods (100) therefor are presented, in which a voltage drop region (80) is provided in a well (82) of a second conductivity type between a channel (78) of a first conductivity type and a drain (74) to inhibit channel hot carrier or direct tunneling degradation of the transistor gate dielectric (64) for high voltage operation. The voltage drop region (80) has more dopants of the first conductivity type and/or fewer dopants of the second conductivity type than does the well (82) so as to shift the high fields away from the transistor gate dielectric (64).
    Type: Grant
    Filed: July 8, 2004
    Date of Patent: July 14, 2009
    Assignee: Texas Instruments Incorporated
    Inventor: Pr Chidambaram
  • Patent number: 7553733
    Abstract: A lateral double diffused metal oxide semiconductor (LDMOS) device includes a gate to control the device, a drain coupled to the gate formed in a well of a first type, a source to form a current path with the drain, and a first field oxide region disposed between the gate and the drain. The gate is formed over a first portion of the well of the first type and a channel portion of the well of the second type. The LDMOS also includes a second field oxide region, which is disposed between the edges of the drain and the well of the second type. A dummy polysilicon layer, which is formed to cover approximately one half of the second field oxide with a remaining portion of the dummy polysilicon layer covering a second portion of the well of the second type, reduces the electric field in the drift region.
    Type: Grant
    Filed: April 4, 2007
    Date of Patent: June 30, 2009
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ming-Ren Tsai, Chen-Fu Hsu
  • Patent number: 7544573
    Abstract: First and second impurity doped regions are formed in a semiconductor substrate. A first gate electrode is formed on the first impurity doped region with a first gate insulation film interposed therebetween. A second gate electrode is formed on the second impurity doped region with a second gate insulation film interposed therebetween. A first sidewall insulation film is formed on either side of the first gate electrode. A second sidewall insulation film has a thickness different from that of the first sidewall insulation film and are formed on either side of the second gate electrode. A third sidewall insulation film is formed on the first sidewall insulation film on the side of the first gate electrode. A fourth sidewall insulation films have a thickness different from that of the third sidewall, insulation film and are formed on the second sidewall insulation film on the side of the second gate electrode.
    Type: Grant
    Filed: October 30, 2007
    Date of Patent: June 9, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Hitoshi Tsuno
  • Patent number: 7534667
    Abstract: A structure and method for fabricating a transistor structure is provided. The method comprises the steps of: (a) providing a substrate including a semiconductor-on-insulator (“SOI”) layer separated from a bulk region of the substrate by a buried dielectric layer. (b) first implanting the SOI layer to achieve a predetermined dopant concentration at an interface of the SOI layer to the buried dielectric layer. and (c) second implanting said SOI layer to achieve predetermined dopant concentrations in a polycrystalline semiconductor gate conductor (“poly gate”) and in source and drain regions disposed adjacent to the poly gate, wherein a maximum depth of the first implanting is greater than a maximum depth of the second implanting.
    Type: Grant
    Filed: April 21, 2006
    Date of Patent: May 19, 2009
    Assignee: International Business Machines Corporation
    Inventors: Dureseti Chidambarrao, Brian J. Greene, John J. Ellis-Monaghan
  • Publication number: 20090121286
    Abstract: An integrated circuit includes a field effect transistor including: a gate electrode disposed adjacent to a surface of semiconductor substrate and a source/drain region disposed in the semiconductor substrate and adjacent to the surface. A net dopant concentration of a first section of the source/drain region decreases towards the gate electrode along a direction perpendicular to the surface.
    Type: Application
    Filed: November 14, 2007
    Publication date: May 14, 2009
    Applicant: QIMONDA AG
    Inventors: Matthias Goldbach, Jurgen Faul
  • Publication number: 20090115000
    Abstract: A semiconductor device and a method for manufacturing the same includes forming a poly-gate including a first poly-gate portion and a second poly-gate portion on and/or over a semiconductor substrate, forming a trench having a predetermined depth in the poly-gate, implanting dopant ions into the entire surface of the semiconductor substrate and the poly-gate including the trench, forming a contact barrier layer to cover a portion of the poly-gate including the trench while exposing an upper surface of the remaining portion of the poly-gate on which a contact will be formed, and forming a contact on the exposed upper surface of the poly-gate.
    Type: Application
    Filed: October 17, 2008
    Publication date: May 7, 2009
    Inventor: Mun-Sub Hwang
  • Patent number: 7524715
    Abstract: A memory cell transistor of a DRAM device is provided. A gate stack pattern is formed on a semiconductor substrate. A DC node and a BC node are formed substantially under lateral sides of the gate stack pattern in the semiconductor substrate. The DC node and the BC node are being electrically connected to a bit line and a storage electrode of a capacitor, respectively. A first source/drain junction region is formed under the DC node and a second source/drain junction region is formed under the BC node. The first source/drain junction region has a profile which is different from that of the second source/drain junction region.
    Type: Grant
    Filed: August 24, 2005
    Date of Patent: April 28, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Su-jin Ahn
  • Patent number: 7514329
    Abstract: Extended-drain MOS transistor devices and fabrication methods are provided, in which a drift region of a first conductivity type is formed between a drain of the first conductivity type and a channel. The drift region comprises first and second portions, the first portion extending partially under a gate structure between the channel and the second portion, and the second portion extending laterally between the first portion and the drain, wherein the first portion of the drift region has a concentration of first type dopants higher than the second portion.
    Type: Grant
    Filed: January 4, 2006
    Date of Patent: April 7, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Sameer Pendharkar, Ramanathan Ramani, Taylor R. Efland
  • Publication number: 20090068811
    Abstract: A semiconductor device is disclosed that comprises a high breakdown voltage MOSFET. The MOSFET includes a source region of a second conductivity type and a drain region of the second conductivity type formed apart from each other in a well region of a first conductivity type, a channel region formed between the source region and the drain region, a gate insulation film formed on the channel region, a LOCOS oxide film having a greater film thickness than the gate insulation film and formed adjacent to the gate insulation film, and a gate electrode formed across the gate insulation film and the LOCOS oxide film.
    Type: Application
    Filed: November 14, 2008
    Publication date: March 12, 2009
    Applicant: RICOH COMPANY, LTD.
    Inventors: Naohiro UEDA, Masato Kijima
  • Patent number: 7494885
    Abstract: According to one exemplary embodiment, a method for forming a field effect transistor on a substrate comprises a step of forming disposable spacers adjacent to a gate stack situated on the substrate, where the disposable spacers comprise amorphous carbon. The disposable spacers can be formed by depositing a layer of amorphous carbon on the gate stack and anisotropically etching the layer of amorphous carbon. The method further comprises forming source and drain regions in the substrate, where the source and drain regions are situated adjacent to the disposable spacers. According to this exemplary embodiment, the method further comprises removing the disposable spacers, where the removal of the disposable spacers causes substantially no gouging in the substrate. The disposable spacers can be removed by using a dry etch process. The method can further comprise forming extension regions in the substrate adjacent to the gate stack prior to forming the disposable spacers.
    Type: Grant
    Filed: April 5, 2004
    Date of Patent: February 24, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mario M. Pelella, Darin A. Chan, Kei-Leong Ho, Lu You
  • Publication number: 20090047768
    Abstract: A process for forming diffused region less than 20 nanometers deep with an average doping dose above 1014 cm?2 in an IC substrate, particularly LDD region in an MOS transistor, is disclosed. Dopants are implanted into a source dielectric layer using gas cluster ion beam (GCIB) implantation, molecular ion implantation or atomic ion implantation resulting in negligible damage in the IC substrate. A spike anneal or a laser anneal diffuses the implanted dopants into the IC substrate. The inventive process may also be applied to forming source and drain (S/D) regions. One source dielectric layer may be used for forming both NLDD and PLDD regions.
    Type: Application
    Filed: August 12, 2008
    Publication date: February 19, 2009
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Amitabh Jain
  • Patent number: 7491616
    Abstract: The invention relates to a method of manufacturing a semiconductor device (10) in which a semiconductor body (1) of silicon is provided, at a surface thereof, with a semiconductor region (4) of a first conductivity type, in which region a second semiconductor region (2A, 3A) of a second conductivity type, opposite to the first conductivity type, is formed forming a pn-junction with the first semiconductor region (4) by the introduction of dopant atoms of the second conductivity type into the semiconductor body (1), and wherein, before the introduction of said dopant atoms, an amorphous region is formed in the semiconductor body (1) by means of an amorphizing implantation of inert atoms, and wherein, after the amorphizing implantation, temporary dopant atoms are implanted in the semiconductor body (1), and wherein, after introduction of the dopant atoms of the second conductivity type, the semiconductor body is annealed by subjecting it to a heat treatment at a temperature in the range of about 500 to about 80
    Type: Grant
    Filed: March 7, 2005
    Date of Patent: February 17, 2009
    Assignee: NXP B.V.
    Inventor: Bartlomiej Jan Pawlak
  • Patent number: 7488638
    Abstract: A method for fabricating integrable PMOSFET semiconductor structures in a P-doped substrate which are distinguished by a high dielectric strength is provided. In order to fabricate the PMOSFET semiconductor structure, a mask is applied to a semiconductor substrate for the definition of a window delimited by a peripheral edge. An N-doped well is thereupon produced in the P-doped semiconductor substrate by means of high-voltage ion implantation through the window delimited by the mask, the edge zone of said N-doped well reaching as far as the surface of the semiconductor substrate. The individual regions for the source, drain and bulk of the PMOSFET semiconductor structure are then produced in the P-doped inner zone enclosed by the well. The P-doped inner zone forms the drift zone of the PMOSFET structure. Since the drift zone has the weak basic doping of the substrate, the PMOSFET has a high dielectric strength.
    Type: Grant
    Filed: December 8, 2005
    Date of Patent: February 10, 2009
    Assignee: PREMA Semiconductor GmbH
    Inventors: Hartmut Grutzediek, Joachim Scheerer
  • Patent number: 7485536
    Abstract: A method including forming a channel region between source and drain regions in a substrate, the channel region including a first dopant profile; and forming a barrier layer between the channel region and a well of the substrate, the barrier layer including a second dopant profile different from the first dopant profile. An apparatus including a gate electrode on a substrate; source and drain regions formed in the substrate and separated by a channel region; and a barrier layer between a well of the substrate and the channel region, the barrier layer including a dopant profile different than a dopant profile of the channel region and different than a dopant profile of the well.
    Type: Grant
    Filed: December 30, 2005
    Date of Patent: February 3, 2009
    Assignee: Intel Corporation
    Inventors: Been-Yih Jin, Brian S. Doyle, Robert S. Chau, Jack T. Kavalieros
  • Patent number: 7482218
    Abstract: A transistor formed on a semiconductor substrate of a first conductivity type in a well formed in the substrate and doped with the first conductivity type to an impurity level higher than that of the substrate. A drain doped to a second conductivity type opposite to said first conductivity type is disposed in the well. A pair of opposed source regions doped to the second conductivity type are disposed in the well and are electrically coupled together. They are separated from opposing outer edges of the drain region by channels. A pair of gates are electrically coupled together and disposed above and insulated from the channels. A region of the well disposed below the drain is doped so as to reduce capacitive coupling between the drain and the well.
    Type: Grant
    Filed: February 21, 2007
    Date of Patent: January 27, 2009
    Assignee: Actel Corporation
    Inventors: John McCollum, Fethi Dhaoui
  • Publication number: 20090004805
    Abstract: A method for fabricating a transistor on a semiconductor wafer includes providing a partial transistor containing a gate stack, extension regions, and source/drain sidewalls. The method also includes performing a source/drain implant of the semiconductor wafer, forming a cap layer over the semiconductor wafer, and performing a source/drain anneal. In addition, the method includes performing a damage implant of the cap layer and removing the cap layer over the semiconductor wafer.
    Type: Application
    Filed: June 29, 2007
    Publication date: January 1, 2009
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Mahalingam Nandakumar, Wayne Bather, Narendra Singh Mehta
  • Publication number: 20090004806
    Abstract: One or more embodiments describe a method of fabricating a silicon based metal oxide semiconductor device, comprising: implanting a first dopant into a first partial completion of the device, the first dopant comprising a first noise reducing species; and implanting a second dopant into a second partial completion of the device, the second dopant and the first dopant being opposite conductivity types.
    Type: Application
    Filed: June 29, 2007
    Publication date: January 1, 2009
    Inventor: Domagoj Siprak
  • Patent number: 7470593
    Abstract: Disclosed is a method for manufacturing a cell transistor of a semiconductor memory device.
    Type: Grant
    Filed: June 10, 2005
    Date of Patent: December 30, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventors: Kyoung Bong Rouh, Seung Woo Jin, Min Young Lee
  • Publication number: 20080315308
    Abstract: A lateral-double diffused MOS device is provided. The device includes: a first well having a first conductive type and a second well having a second conductive type disposed in a substrate and adjacent to each other; a drain and a source regions having the first conductive type disposed in the first and the second wells, respectively; a field oxide layer (FOX) disposed on the first well between the source and the drain regions; a gate conductive layer disposed over the second well between the source and the drain regions extending to the FOX; a gate dielectric layer between the substrate and the gate conductive layer; a doped region having the first conductive type in the first well below a portion of the gate conductive layer and the FOX connecting to the drain region. A channel region is defined in the second well between the doped region and the source region.
    Type: Application
    Filed: June 22, 2007
    Publication date: December 25, 2008
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventors: Hsueh-I Huang, Chien-Wen Chu, Cheng-Chi Lin, Shih-Chin Lien, Chin-Pen Yeh, Shyi-Yuan Wu
  • Patent number: 7468303
    Abstract: Junction leakage in a medium voltage MOS transistor having a silicide structure is prevented. A titanium layer is formed by sputtering titanium over the entire surface of a semiconductor substrate. A gate electrode makes contact with the titanium layer through an opening and P+-type diffusion layers make contact with the titanium layer through openings. In subsequent heat treatment, portions of the titanium layer contacting the gate electrode or each of the P+-type diffusion layers are changed into silicide, forming titanium silicide layers on the gate electrode and the P+-type diffusion layers. Then the rest of the titanium layer, which is on the silicide block layer and not changed into silicide, is removed by wet-etching.
    Type: Grant
    Filed: October 1, 2004
    Date of Patent: December 23, 2008
    Assignee: Sanyo Electric Co., Ltd.
    Inventor: Shigeyuki Sugihara
  • Patent number: 7465637
    Abstract: A method for manufacturing a semiconductor device comprises the steps of forming a gate trench in a semiconductor substrate, forming a gate insulation film in an inner wall of the gate trench, filling a gate electrode material into at least an inside of the gate trench, forming a gate electrode by patterning the gate electrode material, and selectively forming a punch-through stopper region prior to patterning the gate electrode material, using a mask in a prescribed position of the semiconductor substrate that is adjacent to the gate trench. The step for forming the punch-through stopper region may be performed subsequent to the step for filling the gate electrode material into the gate trench, or may be performed prior to the step for forming the gate trench.
    Type: Grant
    Filed: September 14, 2006
    Date of Patent: December 16, 2008
    Assignee: Elpida Memory, Inc.
    Inventor: Yasushi Yamazaki
  • Publication number: 20080299737
    Abstract: A manufacturing method of a semiconductor device which can decrease the degradation of an element due to plasma in the LDD formation process is provided. The degradation of an element due to plasma is decreased by forming an element having an LDD structure according to a manufacturing method of a semiconductor device using a hard mask. Covering the substrate by an electrically conductive film allover, the density of electric charge accumulated in a gate electrode in the plasma process such as anisotropic etching can be reduced, and the degradation due to plasma process can be reduced.
    Type: Application
    Filed: July 31, 2008
    Publication date: December 4, 2008
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventor: Akira ISIKAWA
  • Publication number: 20080293207
    Abstract: A semiconductor structure and method to form the same. The semiconductor structure includes a substrate having a non-volatile charge trap memory device disposed on a first region and a logic device disposed on a second region. A charge trap dielectric stack may be formed subsequent to forming wells and channels of the logic device. HF pre-cleans and SC1 cleans may be avoided to improve the quality of a blocking layer of the non-volatile charge trap memory device. The blocking layer may be thermally reoxidized or nitridized during a thermal oxidation or nitridation of a logic MOS gate insulator layer to densify the blocking layer. A multi-layered liner may be utilized to first offset a source and drain implant in a high voltage logic device and also block silicidation of the nonvolatile charge trap memory device.
    Type: Application
    Filed: May 22, 2008
    Publication date: November 27, 2008
    Inventors: William W.C. Koutny, JR., Sam Geha, Igor Kouznetsov, Krishnaswamy Ramkumar, Fredrick B. Jenne, Sagy Levy, Ravindra Kapre, Jeremy Warren
  • Patent number: 7449386
    Abstract: A method of manufacturing a plurality of MOS transistors includes forming gate structures in first and second regions on a substrate and forming mask portions only between adjacent drain sides of the respective gate structures only in the first region. Dopant of a first conductivity type that is the same as that of the substrate, is implanted at first and second angles in both the first and second regions to form halo regions only in source sides under the gate structures in the first region and in both source and drain sides under the gate structures in the second region.
    Type: Grant
    Filed: November 16, 2006
    Date of Patent: November 11, 2008
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chung-Te Lin, Di-Houng Lee, Yee-Chaung See
  • Patent number: 7446008
    Abstract: Disclosed is a method for fabricating a semiconductor device. The method can include forming a first barrier pattern to cover a first region of a semiconductor substrate while exposing second and third regions of the semiconductor substrate, forming a first oxide layer pattern on the second and third regions, forming a second barrier pattern to cover the third region while exposing the first and second regions, forming a second oxide layer pattern on the first and second regions, forming a third oxide layer pattern on the second region by removing the second and first oxide layer patterns formed on the first and third regions, forming a silicide metal layer on the first, second, and third regions, and selectively forming silicide on the first and third regions by performing an annealing process with respect to the silicide metal layer.
    Type: Grant
    Filed: June 28, 2007
    Date of Patent: November 4, 2008
    Assignee: Dongbu Hitek Co., Ltd.
    Inventor: Dong Yeal Keum
  • Patent number: 7442613
    Abstract: A field effect transistor includes a channel region under a gate stack formed on a semiconductor structure. The field effect transistor also includes a drain region formed with a first dopant doping a first side of the channel region, and includes a source region formed with the first dopant doping a second side of the channel region. The drain and source regions are doped asymmetrically such that a first charge carrier profile between the channel and drain regions has a steeper slope than a second charge carrier profile between the channel and source regions.
    Type: Grant
    Filed: October 25, 2006
    Date of Patent: October 28, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ki-Jae Hur, Kyung-Seok Oh, Joo-Sung Park, Jung-Hyun Shin
  • Patent number: 7435636
    Abstract: A method for fabricating a gallium arsenide MOSFET device is presented. A dummy gate is formed over a gallium arsenide substrate. Source-drain extensions are implanted into the substrate adjacent the dummy gate. Dummy spacers are formed along dummy gate sidewalls and over a portion of the source-drain extensions. Source-drain regions are implanted. Insulating spacers are formed on dummy oxide spacer sidewalls. A conductive layer is formed over the source-drain regions. The conductive layer is annealed to form contacts to the source-drain regions. The dummy gate and the dummy oxide spacers are removed to form a gate opening. A passivation layer is in-situ deposited in the gate opening. The surface of the passivation layer is oxidized to create an oxide layer. A dielectric layer is ex-situ deposited over the oxide layer. A gate metal is deposited over the dielectric layer to form a gate stack in the gate opening.
    Type: Grant
    Filed: March 29, 2007
    Date of Patent: October 14, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Hussein I. Hanafi
  • Publication number: 20080237739
    Abstract: A method of manufacturing a semiconductor device, has forming a gate insulating film over a surface of a substrate, eliminating a portion of the gate insulating film in a region, forming a gate electrode over the gate insulating film and a drain electrode on the region, implanting first impurities into the substrate using the gate electrode and the drain electrode as a mask, forming an insulating film to fill the space between the gate electrode and the drain electrode, and implanting second impurities into the substrate to form a source region using the gate electrode, the drain electrode and the insulating film as a mask.
    Type: Application
    Filed: March 25, 2008
    Publication date: October 2, 2008
    Applicant: FUJITSU LIMITED
    Inventor: Hajime KURATA
  • Publication number: 20080224210
    Abstract: Low voltage, middle voltage and high voltage CMOS devices have upper buffer layers of the same conductivity type as the sources and drains that extend under the sources and drains and the gates but not past the middle of the gates, and lower bulk buffer layers of the opposite conductivity type to the upper buffer layers extend from under the upper buffer layers to past the middle of the gates forming an overlap of the two bulk buffer layers under the gates. The upper buffer layers and the lower bulk buffer layers can be implanted for both the NMOS and PMOS FETs using two masking layers. For middle voltage and high voltage devices the upper buffer layers together with the lower bulk buffer layers provide a resurf region.
    Type: Application
    Filed: March 13, 2007
    Publication date: September 18, 2008
    Inventor: Jun Cai
  • Patent number: 7416950
    Abstract: A method for forming, in a single-crystal semiconductor substrate of a first conductivity type, doped surface regions of the second conductivity type and deeper doped regions of the first conductivity type underlying the surface regions, including the step of negatively biasing the substrate placed in the vicinity of a plasma including, in the form of cations dopants of the first conductivity type and dopants of a second conductivity type, the dopants of the second conductivity type having an atomic mass which is greater than that of the dopants of the first conductivity type.
    Type: Grant
    Filed: February 9, 2006
    Date of Patent: August 26, 2008
    Assignee: STMicroelectronics S.A.
    Inventors: Damien Lenoble, Fabrice Lallement
  • Publication number: 20080185593
    Abstract: A method of manufacturing a vertical power MOS transistor on a wide band gap semiconductor substrate having a wide band gap superficial semiconductor layer, including the steps of forming a screening structure on the superficial semiconductor layer that leaves a plurality of areas of the superficial semiconductor layer exposed, carrying out at least a first ion implantation of a first type of dopant in the superficial semiconductor layer for forming at least one deep implanted region, carrying out at least a second ion implantation of the first type of dopant in the superficial semiconductor layer for forming at least one implanted body region of the MOS transistor aligned with the deep implanted region, carrying out at least one ion implantation of a second type of dopant in the superficial semiconductor layer for forming at least an implanted source region of the MOS transistor inside the at least one implanted body region, and a low budget activation thermal process of the first and second dopant types sui
    Type: Application
    Filed: January 8, 2008
    Publication date: August 7, 2008
    Applicant: STMICROELECTRONICS S.R.L.
    Inventors: Mario Giuseppe Saggio, Ferruccio Frisina
  • Patent number: 7408222
    Abstract: A charge-trapping device includes a field effect transistor, which has source and drain regions. The source and drain regions have a dopant concentration profile, which has a gradient each in a vertical and a lateral direction with respect to a surface of a semiconductor substrate. The gradient in the lateral direction towards a depletion region of the transistor is larger than the gradient in the vertical direction towards a well region.
    Type: Grant
    Filed: March 27, 2006
    Date of Patent: August 5, 2008
    Assignee: Infineon Technologies AG
    Inventors: Rainer Hagenbeck, Christoph Ludwig, Mark Isler, Elard Stein von Kamienski
  • Patent number: 7405117
    Abstract: A method of monolithically fabricating a lateral double-diffused MOSFET (LDMOS) transistor having a source, drain, and a gate on a substrate, with a process flow that is compatible with a CMOS process flow, is disclosed.
    Type: Grant
    Filed: May 15, 2006
    Date of Patent: July 29, 2008
    Assignee: Volterra Semiconductor Corporation
    Inventors: Marco A. Zuniga, Budong You
  • Patent number: 7402451
    Abstract: An imager device that has mitigated dark current leakage and punch-through protection. The transistor associated with the photoconversion device is formed with a single (i.e, one-sided) active area extension region on one side of the transistor gate opposite the photoconversion device, while other transistors can have normal symmetrical (i.e, two-sided) active area extension regions (e.g., lightly doped drains) with resulting high performance and short gate lengths. The asymmetrical active area extension region of the transistor associated with the photodiode can serve to reduce dark current at the photoconversion device. The punch-through problem normally cured by a lightly doped drain is fixed at the transistor associated with the photoconversion device by adding a Vt adjustment implant and/or increasing its gate length.
    Type: Grant
    Filed: March 30, 2005
    Date of Patent: July 22, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Howard E. Rhodes
  • Patent number: 7402485
    Abstract: A sidewall spacer structure is formed adjacent to a gate structure whereby a material forming an outer surface of the sidewall spacer structure contains nitrogen. Subsequent to its formation the sidewall spacer structure is annealed to harden the sidewall spacer structure from a subsequent cleaning process. An epitaxial layer is formed subsequent to the cleaning process.
    Type: Grant
    Filed: September 19, 2005
    Date of Patent: July 22, 2008
    Assignee: Advanced Micro Devices, Inc.
    Inventors: William G. En, Thorsten Kammler, Eric N. Paton, Scott D. Luning
  • Patent number: 7396713
    Abstract: A method for forming asymmetric spacer structures for a semiconductor device includes forming a spacer layer over at least a pair of adjacently spaced gate structures disposed over a semiconductor substrate. The gate structures are spaced such that the spacer layer is formed at a first thickness in a region between the gate structures and at a second thickness elsewhere, the second thickness being greater than said first thickness. The spacer layer is etched so as to form asymmetric spacer structures for the pair of adjacently spaced gate structures.
    Type: Grant
    Filed: October 7, 2005
    Date of Patent: July 8, 2008
    Assignee: International Business Machines Corporation
    Inventor: Haining Yang
  • Publication number: 20080157199
    Abstract: In patterning a transistor, some of a layer of gate dielectric material is allowed to remain over a semiconductor substrate upon which the transistor is formed. This remaining dielectric material retards the implantation of dopants into the underlying substrate, effectively lengthening a channel region of the transistor. This mitigates unwanted short channel effects, such as leakage currents, for example, and thus mitigates yield loss by establishing a transistor that performs in a more predictable or otherwise desirable manner.
    Type: Application
    Filed: March 16, 2007
    Publication date: July 3, 2008
    Inventors: Vidyut Gopal, Shankar Sinha, Jean Yee-Mei Yang, Phillip L. Jones
  • Patent number: 7384844
    Abstract: A method of fabricating a flash memory device includes defining a high voltage region and a low voltage region on a substrate. The high voltage region provides an area for one or more first transistors configured to operation at a first voltage, the low voltage region providing an area for one or more second transistors configured to operation at a second voltage that is lower than the first voltage, each first transistor having a gate and a source/drain region on each side of the gate. A first impurity region is formed as part of the source/drain region, the first impurity region having a first depth from an upper surface of the substrate, the first impurity region being of first conductivity having a first impurity concentration.
    Type: Grant
    Filed: December 7, 2005
    Date of Patent: June 10, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventors: Jeong Hwan Park, Tae Gyun Kim, Dong Kee Lee
  • Publication number: 20080132023
    Abstract: A semiconductor process is provided. The semiconductor process includes providing a substrate. Then, a surface treatment is performed to the substrate to form a buffer layer on the substrate. Next, a first pre-amorphous implantation is performed to the substrate.
    Type: Application
    Filed: November 30, 2006
    Publication date: June 5, 2008
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Yi-Wei Chen, Chao-Ching Hsieh, Tsai-Fu Hsiao, Yu-Lan Chang, Tsung-Yu Hung, Chun-Chieh Chang
  • Patent number: 7361562
    Abstract: Provided is a method of manufacturing a semiconductor device capable of forming a thin high-quality gate oxide layer by suppressing occurrence of recoiled oxygen due to ion implanting. The method of manufacturing a semiconductor device includes steps of: removing an oxide layer from a semiconductor substrate; forming a well region in the substrate by performing a first ion implanting process; removing a native oxide layer from the substrate; adjusting a threshold voltage by performing a second ion implanting process on the substrate; and forming a gate oxide layer on the substrate.
    Type: Grant
    Filed: December 27, 2004
    Date of Patent: April 22, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Hak-Dong Kim
  • Patent number: 7351622
    Abstract: A method of forming a semiconductor device includes forming a three-dimensional structure formed of a semiconductor on a semiconductor substrate, and isotropically doping the three-dimensional structure by performing a plasma doping process using a first source gas and a second source gas. The first source gas includes n-type or p-type impurity elements, and the second source gas includes a dilution element regardless of the electrical characteristic of a doped region.
    Type: Grant
    Filed: July 21, 2006
    Date of Patent: April 1, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Gyoung-Ho Buh, Chang-Woo Ryoo, Yu-Gyun Shin, Tai-Su Park, Jin-Wook Lee
  • Patent number: 7348233
    Abstract: Methods are provided for fabricating a CMOS device having a silicon substrate including a first N-type region and a second P-type region. The method includes the steps of forming a first gate electrode overlying the first N-type region and a second gate electrode overlying the second P-type region. P-type source and drain regions are ion implanted into the first N-type region, and N-type source and drain regions are ion implanted into the second P-type region. First silicide regions, spaced apart from the first gate electrode by a first distance, are formed contacting the P-type source and drain regions, and second silicide regions, spaced apart from the second gate electrode by a second distance less than the first distance, are formed contacting the N-type source and drain regions.
    Type: Grant
    Filed: August 19, 2005
    Date of Patent: March 25, 2008
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Martin Gerhardt, Igor Peidous
  • Patent number: 7344947
    Abstract: Methods fabricate DEMOS devices having varied channel lengths and substantially similar threshold voltages. A threshold voltage is selected for first and second devices. First and second well regions are formed. First and second drain extension regions are formed within the well regions. First and second back gate regions are formed within the well regions according to the selected threshold voltage. First and second gate structures are formed over the first and second well regions having varied channel lengths. A first source region is formed in the first back gate region and a first drain region is formed in the first drain extension region. A second source region is formed in the second back gate region and a second drain region is formed in the drain extension region.
    Type: Grant
    Filed: April 27, 2006
    Date of Patent: March 18, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Victor Ivanov, Jozef Czeslaw Mitros
  • Publication number: 20080054315
    Abstract: Embodiments relate to a method for manufacturing a semiconductor device by forming a gate pattern over a semiconductor substrate. A material, which has a higher atomic weight than that of a pocket implant dopant, is implanted at an angle or tilt into the respective pocket implant areas at both sides of the gate pattern. The pocket implant dopant is then implanted into the respective pocket implant areas at both sides of the gate pattern.
    Type: Application
    Filed: August 29, 2007
    Publication date: March 6, 2008
    Inventor: Ji-Ho Hong