From Solid Dopant Source In Contact With Semiconductor Region Patents (Class 438/558)
  • Patent number: 8703593
    Abstract: A method of forming an integrated circuit includes providing a semiconductor wafer including a semiconductor fin dispatched on a surface of the semiconductor wafer; forming a dopant-rich layer having an impurity on a top surface and sidewalls of the semiconductor fin, wherein the impurity is of n-type or p-type; performing a knock-on implantation to drive the impurity into the semiconductor fin; and removing the dopant-rich layer.
    Type: Grant
    Filed: June 14, 2013
    Date of Patent: April 22, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chun Hsiung Tsai, Chien-Tai Chan, Mao-Rong Yeh, Da-Wen Lin
  • Publication number: 20140094025
    Abstract: A method for processing a semiconductor assembly is presented. The method includes: (a) contacting at least a portion of a semiconductor assembly with a chalcogen source, wherein the semiconductor assembly comprises a semiconductor layer comprising a semiconductor material disposed on a support; (b) introducing a chalcogen from the chalcogen source into at least a portion of the semiconductor material; and (c) disposing a window layer on the semiconductor layer after the step (b).
    Type: Application
    Filed: September 28, 2012
    Publication date: April 3, 2014
    Applicant: GENERAL ELECTRIC COMPANY
    Inventors: Bastiaan Arie Korevaar, Faisal Razi Ahmad
  • Patent number: 8673673
    Abstract: A solar cell includes polysilicon P-type and N-type doped regions on a backside of a substrate, such as a silicon wafer. An interrupted trench structure separates the P-type doped region from the N-type doped region in some locations but allows the P-type doped region and the N-type doped region to touch in other locations. Each of the P-type and N-type doped regions may be formed over a thin dielectric layer. Among other advantages, the resulting solar cell structure allows for increased efficiency while having a relatively low reverse breakdown voltage.
    Type: Grant
    Filed: April 29, 2013
    Date of Patent: March 18, 2014
    Assignee: SunPower Corporation
    Inventors: Denis De Ceuster, Peter John Cousins, David D. Smith
  • Publication number: 20140073122
    Abstract: A method for forming an ultra-shallow boron dopant region in a substrate is provided. In one embodiment, the method includes depositing, by atomic layer deposition (ALD), a boron dopant layer in direct contact with the substrate, where the boron dopant layer contains an oxide, a nitride, or an oxynitride formed by alternating gaseous exposures of a boron amide precursor and a reactant gas. The method further includes patterning the dopant layer and forming an ultra-shallow dopant region in the substrate by diffusing boron from the boron dopant layer into the substrate by a thermal treatment.
    Type: Application
    Filed: November 12, 2013
    Publication date: March 13, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Robert D Clark
  • Patent number: 8659110
    Abstract: A single-junction photovoltaic cell includes a doped layer comprising a dopant diffused into a semiconductor substrate; a patterned conducting layer formed on the doped layer; a semiconductor layer comprising the semiconductor substrate located on the doped layer on a surface of the doped layer opposite the patterned conducting layer; and an ohmic contact layer formed on the semiconductor layer.
    Type: Grant
    Filed: February 28, 2013
    Date of Patent: February 25, 2014
    Assignee: International Business Machines Corporation
    Inventors: Stephen W. Bedell, Keith E. Fogel, Devendra Sadana, Davood Shahrjerdi, Norma E. Sosa Cortes, Brent A. Wacaser
  • Patent number: 8647939
    Abstract: A method of forming a field effect transistor (FET) device includes forming a patterned gate structure over a substrate; forming a solid source dopant material on the substrate, adjacent sidewall spacers of the gate structure; performing an anneal process at a temperature sufficient to cause dopants from the solid source dopant material to diffuse within the substrate beneath the gate structure and form source/drain extension regions; following formation of the source/drain extension regions, forming trenches in the substrate adjacent the sidewall spacers, corresponding to source/drain regions; and forming an embedded semiconductor material in the trenches so as to provide a stress on a channel region of the substrate defined beneath the gate structure.
    Type: Grant
    Filed: August 20, 2013
    Date of Patent: February 11, 2014
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Bruce B. Doris, Pranita Kerber, Ali Khakifirooz, Douglas C. La Tulipe, Jr.
  • Patent number: 8647911
    Abstract: A solar cell includes abutting P-type and N-type doped regions in a contiguous portion of a polysilicon layer. The polysilicon layer may be formed on a thin dielectric layer, which is formed on a backside of a solar cell substrate (e.g., silicon wafer). The polysilicon layer has a relatively large average grain size to reduce or eliminate recombination in a space charge region between the P-type and N-type doped regions, thereby increasing efficiency.
    Type: Grant
    Filed: July 12, 2012
    Date of Patent: February 11, 2014
    Assignee: SunPower Corporation
    Inventor: David D. Smith
  • Patent number: 8637386
    Abstract: An electronic device includes a silicon carbide layer having a first conductivity type and a main junction adjacent a surface of the silicon carbide layer, and a junction termination region at the surface of the silicon carbide layer adjacent the main junction. Charge in the junction termination region decreases with lateral distance from the main junction, and a maximum charge in the junction termination region may be less than about 2×1014 cm?2.
    Type: Grant
    Filed: March 8, 2010
    Date of Patent: January 28, 2014
    Assignee: Cree, Inc.
    Inventors: Qingchun Zhang, Anant K. Agarwal, Tangali S. Sudarshan, Alexander Bolotnikov
  • Patent number: 8633097
    Abstract: A method for forming a single-junction photovoltaic cell includes forming a dopant layer on a surface of a semiconductor substrate; diffusing the dopant layer into the semiconductor substrate to form a doped layer of the semiconductor substrate; forming a metal layer over the doped layer, wherein a tensile stress in the metal layer is configured to cause a fracture in the semiconductor substrate; removing a semiconductor layer from the semiconductor substrate at the fracture; and forming the single junction photovoltaic cell using the semiconductor layer. A single-junction photovoltaic cell includes a doped layer comprising a dopant diffused into a semiconductor substrate; a patterned conducting layer formed on the doped layer; a semiconductor layer comprising the semiconductor substrate located on the doped layer on a surface of the doped layer opposite the patterned conducting layer; and an ohmic contact layer formed on the semiconductor layer.
    Type: Grant
    Filed: February 26, 2010
    Date of Patent: January 21, 2014
    Assignee: International Business Machines Corporation
    Inventors: Stephen W. Bedell, Norma E. Sosa Cortes, Keith E. Fogel, Devendra Sadana, Davood Shahrjerdi, Brent A. Wacaser
  • Publication number: 20140011347
    Abstract: Provided is a process for modifying the chemical composition of a surface region of a material, employing rapid thermal processing (RTP) conditions.
    Type: Application
    Filed: April 5, 2012
    Publication date: January 9, 2014
    Inventors: Roie Yerushalmi, Ori Pinchas-Hazut
  • Patent number: 8623694
    Abstract: Non-volatile, resistance variable memory devices, integrated circuit elements, and methods of forming such devices are provided. According to one embodiment of a method of the invention, a memory device can be fabricated by depositing a chalcogenide material onto a first (lower) electrode, sputter depositing a thin diffusion layer of a conductive material over the chalcogenide material, diffusing metal from the diffusion layer into the chalcogenide material, resulting in a metal-comprising resistance variable material, and then plating a conductive material to a desired thickness to form a second (upper) electrode.
    Type: Grant
    Filed: April 20, 2010
    Date of Patent: January 7, 2014
    Assignee: Micron Technology, Inc.
    Inventor: Rita J. Klein
  • Publication number: 20140000481
    Abstract: The present invention relates to aluminium oxide pastes and to a process for the use of the aluminium oxide pastes for the formation of Al2O3 coatings or mixed Al2O3 hybrid layers.
    Type: Application
    Filed: February 9, 2012
    Publication date: January 2, 2014
    Applicant: MERCK PATENT GMBH
    Inventors: Ingo Koehler, Oliver Doll, Werner Stockum, Sebastian Barth
  • Patent number: 8598025
    Abstract: An improved method of doping a workpiece is disclosed. In this method, a film comprising the species to be implanted is introduced to the surface of a planar or three-dimensional workpiece. This film can be grown using CVD, a bath or other means. The workpiece with the film is then subjected to ion bombardment to help drive the dopant into the workpiece. This ion bombardment is performed at elevated temperatures to reduce crystal damage and create a more abrupt doped region.
    Type: Grant
    Filed: November 14, 2011
    Date of Patent: December 3, 2013
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Louis Steen, Yuri Erokhin, Hans-Joachin Ludwig Gossmann
  • Patent number: 8592270
    Abstract: A method of forming a field effect transistor (FET) device includes forming a patterned gate structure over a substrate; forming a solid source dopant material on the substrate, adjacent sidewall spacers of the gate structure; performing an anneal process at a temperature sufficient to cause dopants from the solid source dopant material to diffuse within the substrate beneath the gate structure and form source/drain extension regions; following formation of the source/drain extension regions, forming trenches in the substrate adjacent the sidewall spacers, corresponding to source/drain regions; and forming an embedded semiconductor material in the trenches so as to provide a stress on a channel region of the substrate defined beneath the gate structure.
    Type: Grant
    Filed: May 25, 2011
    Date of Patent: November 26, 2013
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Bruce B. Doris, Ali Khakifirooz, Pranita Kulkarni, Douglas C. La Tulipe, Jr.
  • Patent number: 8580665
    Abstract: An integrated circuit device having doped conductive contacts, and methods for its fabrication, are provided. One such method involves depositing a dielectric layer on the surface of a silicon semiconductor substrate, and photolithographically patterning a plurality of contact trenches on the dielectric layer. A tantalum barrier is deposited in the trenches, followed by a copper seed layer. The trenches are then plated with copper, including an overburden. A layer of doping material is deposited atop the overburden, and diffused into the copper by a heat treatment process. The overburden is then removed through chemical mechanical planarization, resulting in usable conductive interconnects in the trenches.
    Type: Grant
    Filed: October 6, 2011
    Date of Patent: November 12, 2013
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventor: Christian Witt
  • Patent number: 8580664
    Abstract: A method for forming an ultra-shallow boron dopant region in a substrate is provided. In one embodiment, the method includes depositing, by atomic layer deposition (ALD), a boron dopant layer in direct contact with the substrate, where the boron dopant layer contains an oxide, a nitride, or an oxynitride formed by alternating gaseous exposures of boron amide precursor or an organoboron precursor and a reactant gas. The method further includes patterning the dopant layer and forming an ultra-shallow dopant region in the substrate by diffusing boron from the boron dopant layer into the substrate by a thermal treatment.
    Type: Grant
    Filed: March 31, 2011
    Date of Patent: November 12, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Robert D. Clark
  • Patent number: 8558308
    Abstract: In a semiconductor die, source zones of a first conductivity type and body zones of a second conductivity type are formed. Both the source and the body zones adjoin a first surface of the semiconductor die in first sections. An impurity source is provided in contact with the first sections of the first surface. The impurity source is tempered so that atoms of a metallic recombination element diffuse out from the impurity source into the semiconductor die. Then impurities of the second conductivity type are introduced into the semiconductor die to form body contact zones between two neighboring source zones, respectively. The atoms of the metallic recombination element reduce the reverse recovery charge in the semiconductor die. Providing the body contact zones after tempering the platinum source provides uniform and reliable body contacts.
    Type: Grant
    Filed: June 14, 2012
    Date of Patent: October 15, 2013
    Assignee: Infineon Technologies Austria AG
    Inventors: Oliver Blank, Michael Hutzler, David Laforet, Ralf Siemieniec
  • Patent number: 8551872
    Abstract: A transistor structure includes a channel located in an extremely thin silicon on insulator (ETSOI) layer and disposed between a raised source and a raised drain, a gate structure having a gate conductor disposed over the channel and between the source and the drain, and a gate spacer layer disposed over the gate conductor. The raised source and the raised drain each have a facet that is upwardly sloping away from the gate structure. A lower portion of the source and a lower portion of the drain are separated from the channel by an extension region containing a dopant species diffused from a dopant-containing glass.
    Type: Grant
    Filed: September 19, 2012
    Date of Patent: October 8, 2013
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Chen, Bruce B. Doris, Balasubramanian S. Haran, Amlan Majumdar, Sanjay Mehta
  • Patent number: 8546249
    Abstract: A method of depositing polycrystalline silicon exclusively on monocrystalline first silicon surface portions of a substrate surface which besides the first surface portions additionally has insulator surface portions, comprising the steps of depositing boron on the first silicon surface portions in an amount which in relation to the first silicon surface portions respectively corresponds to more than a monolayer of boron, and depositing silicon on the first silicon surface portions treated in that way.
    Type: Grant
    Filed: February 15, 2008
    Date of Patent: October 1, 2013
    Assignee: IHP GmbH—Innovations for High Performance
    Inventors: Bernd Tillack, Bernd Heinemann, Yuji Yamamoto
  • Patent number: 8513104
    Abstract: A method of forming a floating junction on a substrate is disclosed. The method includes providing the substrate doped with boron atoms, the substrate comprising a front surface and a rear surface. The method also includes depositing a set of masking particles on the rear surface in a set of patterns; and heating the substrate in a baking ambient to a first temperature and for a first time period in order to create a particle masking layer. The method further includes exposing the substrate to a phosphorous deposition ambient at a second temperature and for a second time period, wherein a front surface PSG layer, a front surface phosphorous diffusion, a rear surface PSG layer, and a rear surface phosphorous diffusion are formed, and wherein a first phosphorous dopant surface concentration in the substrate proximate to the set of patterns is less than a second dopant surface concentration in the substrate not proximate to the set of patterns.
    Type: Grant
    Filed: June 29, 2011
    Date of Patent: August 20, 2013
    Assignee: Innovalight, Inc.
    Inventors: Malcolm Abbott, Maxim Kelman, Eric Rosenfeld, Elena Rogojina, Giuseppe Scardera
  • Patent number: 8492253
    Abstract: Methods of forming contacts for back-contact solar cells are described. In one embodiment, a method includes forming a thin dielectric layer on a substrate, forming a polysilicon layer on the thin dielectric layer, forming and patterning a solid-state p-type dopant source on the polysilicon layer, forming an n-type dopant source layer over exposed regions of the polysilicon layer and over a plurality of regions of the solid-state p-type dopant source, and heating the substrate to provide a plurality of n-type doped polysilicon regions among a plurality of p-type doped polysilicon regions.
    Type: Grant
    Filed: December 2, 2010
    Date of Patent: July 23, 2013
    Assignee: SunPower Corporation
    Inventor: Jane Manning
  • Patent number: 8486747
    Abstract: Proposed is the backside silicon photovoltaic cell and method for forming backside selective emitters, backside doped base contact regions, backside field-induced emitters, FSF-regions, and contacts to the functional regions of a backside solar cell by essentially electrical means and without conventional thermal diffusion and masking processes. The process includes forming conductive layers on both sides of an intermediate device structure, performing Joule heating by passing electrical current through the backside conductive layers thus forming the selective emitters, the base contact regions, and contacts to the functional regions. The obtained structure is then subjected to pulse electrical treatment by applying a voltage pulse or pulses between the front and back conductive layers to form the field-induced emitter and the field-induced FSF. After the conductive layers are removed, a final solar cell is obtained.
    Type: Grant
    Filed: April 17, 2012
    Date of Patent: July 16, 2013
    Inventor: Boris Gilman
  • Patent number: 8481413
    Abstract: A method and system are disclosed for doping a semiconductor substrate. In one embodiment, the method comprises forming a carbon free layer of phosphoric acid on a semiconductor substrate, and diffusing phosphorous from the layer of phosphoric acid in the substrate to form an activated phosphorous dopant therein. In an embodiment, the semiconductor substrate is immersed in a solution of a phosphorous compound to form a layer of the phosphorous compound on the substrate, and this layer of phosphorous is processed to form the layer of phosphoric acid. In an embodiment, this processing may include hydrolyzing the layer of the phosphorous compound to form the layer of phosphoric acid. In one embodiment, an oxide cap layer is formed on the phosphoric acid layer to form a capped substrate. The capped substrate may be annealed to diffuse the phosphorous in the substrate and to form the activated dopant.
    Type: Grant
    Filed: March 11, 2010
    Date of Patent: July 9, 2013
    Assignee: International Business Machines Corporation
    Inventors: Ali Afzali-Ardakani, Damon B. Farmer, Lidija Sekaric
  • Patent number: 8481414
    Abstract: Methods of incorporating impurities into materials can be useful in non-volatile memory devices as well as other integrated circuit devices. Various embodiments provide for incorporating impurities into a material using a discontinuous mask.
    Type: Grant
    Filed: April 8, 2011
    Date of Patent: July 9, 2013
    Assignee: Micron Technology, Inc.
    Inventor: Jaydeb Goswami
  • Patent number: 8460963
    Abstract: A solar cell includes polysilicon P-type and N-type doped regions on a backside of a substrate, such as a silicon wafer. A trench structure separates the P-type doped region from the N-type doped region. Each of the P-type and N-type doped regions may be formed over a thin dielectric layer. The trench structure may include a textured surface for increased solar radiation collection. Among other advantages, the resulting structure increases efficiency by providing isolation between adjacent P-type and N-type doped regions, thereby preventing recombination in a space charge region where the doped regions would have touched.
    Type: Grant
    Filed: September 10, 2010
    Date of Patent: June 11, 2013
    Assignee: SunPower Corporation
    Inventor: David D. Smith
  • Patent number: 8450130
    Abstract: Provided is a semiconductor laser, wherein (?a??w)>15 (nm) and Lt<25 (?m), where ?w is the wavelength of light corresponding to the band gap of the active layer disposed at a position within a distance of 2 ?m from one end surface in a resonator direction, ?a is the wavelength of light corresponding to the band gap of the active layer disposed at a position that is spaced a distance of equal to or more than ( 3/10)L and ?( 7/10)L from the one end surface in a resonator direction, “L” is the resonator length, and “Lt” is the length of a transition region provided between the position of the active layer with a band gap corresponding to a light wavelength of ?w+2 (nm) and the position of the active layer with a band gap corresponding to a light wavelength of ?a?2 (nm) in the resonator direction.
    Type: Grant
    Filed: June 19, 2012
    Date of Patent: May 28, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Kentaro Tada, Kenji Endo, Kazuo Fukagai, Tetsuro Okuda, Masahide Kobayashi
  • Patent number: 8450134
    Abstract: A solar cell includes polysilicon P-type and N-type doped regions on a backside of a substrate, such as a silicon wafer. An interrupted trench structure separates the P-type doped region from the N-type doped region in some locations but allows the P-type doped region and the N-type doped region to touch in other locations. Each of the P-type and N-type doped regions may be formed over a thin dielectric layer. Among other advantages, the resulting solar cell structure allows for increased efficiency while having a relatively low reverse breakdown voltage.
    Type: Grant
    Filed: November 12, 2010
    Date of Patent: May 28, 2013
    Assignee: SunPower Corporation
    Inventors: Denis De Ceuster, Peter John Cousins, David D. Smith
  • Patent number: 8420518
    Abstract: A method of manufacturing a semiconductor structure includes: forming a trench in a back side of a substrate; depositing a dopant on surfaces of the trench; forming a shallow trench isolation (STI) structure in a top side of the substrate opposite the trench; forming a deep well in the substrate; out-diffusing the dopant into the deep well and the substrate; forming an N-well and a P-well in the substrate; and filling the trench with a conductive material.
    Type: Grant
    Filed: April 27, 2011
    Date of Patent: April 16, 2013
    Assignee: International Business Machines Corporation
    Inventors: Phillip F. Chapman, David S. Collins, Steven H. Voldman
  • Patent number: 8420517
    Abstract: A method of forming a multi-doped junction on a substrate is disclosed. The method includes providing the substrate doped with boron atoms, the substrate comprising a front substrate surface. The method further includes depositing an ink on the front substrate surface in a ink pattern, the ink comprising a set of silicon-containing particles and a set of solvents. The method also includes heating the substrate in a baking ambient to a first temperature and for a first time period in order to create a densified film ink pattern.
    Type: Grant
    Filed: February 12, 2010
    Date of Patent: April 16, 2013
    Assignee: Innovalight, Inc.
    Inventors: Giuseppe Scardera, Shihai Kan, Maxim Kelman, Dmitry Poplavskyy
  • Patent number: 8415239
    Abstract: An exemplary method is disclosed for manufacturing a power semiconductor device which has a first electrical contact on a first main side and a second electrical contact on a second main side opposite the first main side and at least a two-layer structure with layers of different conductivity types, and includes providing an n-doped wafer and creating a surface layer of palladium particles on the first main side. The wafer is irradiated on the first main side with ions. Afterwards, the palladium particles are diffused into the wafer at a temperature of not more than 750° C., by which diffusion a first p-doped layer is created. Then, the first and second electrical contacts are created. At least the irradiation with ions is performed through a mask.
    Type: Grant
    Filed: March 25, 2010
    Date of Patent: April 9, 2013
    Assignee: ABB Technology AG
    Inventors: Jan Vobecky, Munaf Rahimo
  • Publication number: 20130081691
    Abstract: A coating fluid comprising a boron compound, an organic binder, a silicon compound, an alumina precursor, and water and/or an organic solvent is used to diffuse boron into a silicon substrate to form a p-type diffusion layer. The coating fluid is spin coated onto the substrate to form a uniform coating having a sufficient amount of impurity whereupon a p-type diffusion layer having in-plane uniformity is formed.
    Type: Application
    Filed: October 2, 2012
    Publication date: April 4, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: SHIN-ETSU CHEMICAL CO., LTD.
  • Patent number: 8404512
    Abstract: The present invention provides methods for forming a doped Group IBIIIAVIA absorber layer for a solar cell. The method includes forming precursor layers that include a dopant rich layer and then annealing the precursor layers. The annealing process results in dopants diffusing through the layers to an exterior surface. The annealing process is periodically halted to remove dopants from the exposed surface.
    Type: Grant
    Filed: March 4, 2011
    Date of Patent: March 26, 2013
    Assignee: SoloPower, Inc.
    Inventors: Serdar Aksu, Mustafa Pinarbasi
  • Publication number: 20130061922
    Abstract: A diffusion agent composition used in forming an impurity diffusion agent layer on a semiconductor substrate, and containing an impurity diffusion component, a silicon compound, and a solvent containing a solvent having a boiling point of 100° C. or less, a solvent having a boiling point of 120-180° C., and a solvent having a boiling point of 300° C.
    Type: Application
    Filed: April 12, 2011
    Publication date: March 14, 2013
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Atsushi Murota, Takaaki Hirai
  • Patent number: 8394710
    Abstract: A method of forming a semiconductor device is provided, in which the dopant for the source and drain regions is introduced from a doped dielectric layer. In one example, a gate structure is formed on a semiconductor layer of an SOI substrate, in which the thickness of the semiconductor layer is less than 10 nm. A doped dielectric layer is formed over at least the portion of the semiconductor layer that is adjacent to the gate structure. The dopant from the doped dielectric layer is driven into the portion of the semiconductor layer that is adjacent to the gate structure. The dopant diffused into the semiconductor provides source and drain extension regions.
    Type: Grant
    Filed: June 21, 2010
    Date of Patent: March 12, 2013
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Bruce B. Doris, Balasubramanian S. Haran, Ali Khakifirooz, Ghavam G. Shahidi
  • Patent number: 8394711
    Abstract: Various embodiments of the present disclosure provide a method of simultaneously co-doping a wide band gap material with p-type and n-type impurities to create a p-n junction within the resulting wide band gap composite material. The method includes disposing a sample comprising a dopant including both p-type and n-type impurities between a pair of wide band gap material films and disposing the sample between a pair of opposing electrodes; and subjecting the sample to a preselected vacuum; and heating the sample to a preselected temperature; and applying a preselected voltage across the sample; and subjecting the sample to at least one laser beam having a preselected intensity and a preselected wavelength, such that the p-type and n-type impurities of the dopant substantially simultaneously diffuse into the wide band gap material films resulting in a wide band gap compound material comprising a p-n junction.
    Type: Grant
    Filed: June 6, 2011
    Date of Patent: March 12, 2013
    Assignee: The Curators of the University of Missouri
    Inventors: Mark A. Prelas, Tushar K. Ghos, Robert V. Tompson, Jr., Dabir S. Viswanath, Sudarshan Loyalka
  • Publication number: 20130059433
    Abstract: Compositions and methods for doping silicon substrates by treating the substrate with a diluted dopant solution comprising tetraethylene glycol dimethyl ether (tetraglyme) and a dopant-containing material and subsequently diffusing the dopant into the surface by rapid thermal annealing. Diethyl-1-propylphosphonate and allylboronic acid pinacol ester are preferred dopant-containing materials, and are preferably included in the diluted dopant solution in an amount ranging from about 1% to about 20%, with a dopant amount of 4% or less being more preferred.
    Type: Application
    Filed: October 5, 2012
    Publication date: March 7, 2013
    Applicant: DYNALOY, LLC
    Inventor: Dynaloy, LLC
  • Publication number: 20130040447
    Abstract: Disclosed herein are methods of doping a patterned substrate in a reaction chamber. The methods may include forming a first conformal film layer which has a dopant source including a dopant, and driving some of the dopant into the substrate to form a conformal doping profile. In some embodiments, forming the first film layer may include introducing a dopant precursor into the reaction chamber, adsorbing the dopant precursor under conditions whereby it forms an adsorption-limited layer, and reacting the adsorbed dopant precursor to form the dopant source. Also disclosed herein are apparatuses for doping a substrate which may include a reaction chamber, a gas inlet, and a controller having machine readable code including instructions for operating the gas inlet to introduce dopant precursor into the reaction chamber so that it is adsorbed, and instructions for reacting the adsorbed dopant precursor to form a film layer containing a dopant source.
    Type: Application
    Filed: September 7, 2012
    Publication date: February 14, 2013
    Inventors: Shankar Swaminathan, Mandyam Sriram, Bart van Schravendijk, Pramod Subramonium, Adrien La Voie
  • Publication number: 20130025670
    Abstract: The semiconductor substrate of the present invention contains a semiconductor layer and an impurity diffusion layer containing at least one impurity atom selected from the group consisting of an n-type impurity atom and a p-type impurity atom and at least one metallic atom selected from the group consisting of K, Na, Li, Ba, Sr, Ca, Mg, Be, Zn, Pb, Cd, V, Sn, Zr, Mo, La, Nb, Ta, Y, Ti, Ge, Te, and Lu.
    Type: Application
    Filed: July 24, 2012
    Publication date: January 31, 2013
    Inventors: Tetsuya SATO, Masato YOSHIDA, Takeshi NOJIRI, Yoichi MACHII, Mitsunori IWAMURO, Akihiro ORITA
  • Patent number: 8361895
    Abstract: A semiconductor device and a method of manufacturing are provided. A substrate has a gate stack formed thereon. Ultra-shallow junctions are formed by depositing an atomic layer of a dopant and performing an anneal to diffuse the dopant into the substrate on opposing sides of the gate stack. The substrate may be recessed prior to forming the atomic layer and the recess may be filled by an epitaxial process. The depositing, annealing, and, if used, epitaxial growth may be repeated a plurality of times to achieve the desired junctions. Source/drain regions are also provided on opposing sides of the gate stack.
    Type: Grant
    Filed: September 16, 2008
    Date of Patent: January 29, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jing-Cheng Lin, Chen-Hua Yu
  • Patent number: 8349698
    Abstract: An integrated semiconductor device and method of manufacturing the same includes leaving one part of a semiconductor layer so that an inclined surface is formed on a trench when forming the trench on a SOI wafer. A thick silicon oxide film (second insulation film) is formed along this incline surface. This thick silicon oxide film prevents oxygen entering a boundary surface between an insulation layer and the semiconductor layer of the SOI wafer within the trench.
    Type: Grant
    Filed: January 28, 2010
    Date of Patent: January 8, 2013
    Assignee: Sanken Electric Co., Ltd.
    Inventors: Hironori Aoki, Eiichi Kikkawa
  • Patent number: 8309446
    Abstract: Embodiments of the invention contemplate the formation of a high efficiency solar cell using a novel processing sequence to form a solar cell device. In one embodiment, the methods include forming a doping layer on a back surface of a substrate, heating the doping layer and substrate to cause the doping layer diffuse into the back surface of the substrate, texturing a front surface of the substrate after heating the doping layer and the substrate, forming a dielectric layer on the back surface of the substrate, removing portions of the dielectric layer from the back surface to from a plurality of exposed regions of the substrate, and depositing a metal layer over the back surface of the substrate, wherein the metal layer is in electrical communication with at least one of the plurality of exposed regions on the substrate, and at least one of the exposed regions has dopant atoms provided from the doping layer.
    Type: Grant
    Filed: July 16, 2009
    Date of Patent: November 13, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Timothy W. Weidman, Rohit Mishra, Michael P. Stewart, Yonghwa Chris Cha, Kapila P. Wijekoon, Hongbin Fang
  • Patent number: 8304323
    Abstract: [PROBLEMS] To provide a semiconductor element manufacturing method by which a semiconductor element having high accuracy and high function can be manufactured by controlling diffusion depth and diffusion concentration in a pn junction region with high accuracy. [MEANS FOR SOLVING PROBLEMS] A diffusion control layer (2) composed of a thin film of a substance having a smaller diffusion coefficient than that of a diffusion source (3) is formed between a surface of a substrate (1) and the diffusion source (3), and an element of the diffusion source (3) is permitted to thermally diffuse through the diffusion control layer (2). Thus, the diffusion depth and the diffusion concentration in the semiconductor region, which is formed on the surface portion of the substrate and has a conductivity type different from that of the substrate, can be highly accurately controlled, and the semiconductor element having high accuracy and high function can be manufactured.
    Type: Grant
    Filed: January 5, 2005
    Date of Patent: November 6, 2012
    Assignee: Saga University
    Inventors: Thoru Tanaka, Hiroshi Ogawa, Mitsuhiro Nishio
  • Publication number: 20120252197
    Abstract: A method for forming an ultra-shallow boron dopant region in a substrate is provided. In one embodiment, the method includes depositing, by atomic layer deposition (ALD), a boron dopant layer in direct contact with the substrate, where the boron dopant layer contains an oxide, a nitride, or an oxynitride formed by alternating gaseous exposures of boron amide precursor or an organoboron precursor and a reactant gas. The method further includes patterning the dopant layer and forming an ultra-shallow dopant region in the substrate by diffusing boron from the boron dopant layer into the substrate by a thermal treatment.
    Type: Application
    Filed: March 31, 2011
    Publication date: October 4, 2012
    Applicant: Tokyo Electron Limited
    Inventor: Robert D. Clark
  • Patent number: 8236675
    Abstract: A method is proposed for the fabrication of the gate electrode of a semiconductor device such that the effects of gate depletion are minimized. The method is comprised of a dual deposition process wherein the first step is a very thin layer that is doped very heavily by ion implantation. The second deposition, with an associated ion implant for doping, completes the gate electrode. With the two-deposition process, it is possible to maximize the doping at the gate electrode/gate dielectric interface while minimizing risk of boron penetration of the gate dielectric. A further development of this method includes the patterning of both gate electrode layers with the advantage of utilizing the drain extension and source/drain implants as the gate doping implants and the option of offsetting the two patterns to create an asymmetric device.
    Type: Grant
    Filed: October 2, 2009
    Date of Patent: August 7, 2012
    Assignee: SemEquip, Inc.
    Inventors: Wade A. Krull, Dale C. Jacobson
  • Patent number: 8222131
    Abstract: Provided is a method of forming an image sensor. The method may include providing a single crystalline semiconductor layer including at least one photodiode onto a support substrate; forming a material layer including dopants on the single crystalline semiconductor layer; and forming a dopant diffusion layer in the single crystalline semiconductor layer by diffusing the dopants of the material layer.
    Type: Grant
    Filed: December 28, 2009
    Date of Patent: July 17, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Gi Bum Kim, Yun Ki Lee
  • Publication number: 20120160306
    Abstract: A diffusion agent composition including an impurity-diffusing component (A); a binder resin (B) that thermally decomposes and disappears below a temperature at which the impurity-diffusing component (A) begins to thermally diffuse; SiO2 fine particles (C); and an organic solvent (D) that contains an organic solvent (D1) having a boiling point of at least 100° C.
    Type: Application
    Filed: August 18, 2010
    Publication date: June 28, 2012
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Takaaki Hirai, Atsushi Murota, Katsuya Tanitsu
  • Publication number: 20120161203
    Abstract: In transistors requiring a high compressive strain, the germanium contents may be increased by applying a germanium condensation technique. In some illustrative embodiments, an oxidation process is performed in the presence of a silicon/germanium material obtained on the basis of selective epitaxial growth techniques, thereby increasingly oxidizing the silicon species, while driving the germanium into the lower lying areas of the active region, which finally results in an increased germanium concentration.
    Type: Application
    Filed: August 2, 2011
    Publication date: June 28, 2012
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Stefan Flachowsky, Stephan-Detlef Kronholz, Jan Hoentschel, Thilo Scheiper
  • Publication number: 20120153295
    Abstract: Radiation detector. The detector includes an ionic junction having an ionically bonded wide band gap material having a first region dominated by positively charged ionic defects in intimate contact with a second region dominated by negatively charged ionic defects forming depleted regions on both sides of the junction resulting in a built-in electric field. The detector also includes an ionic junction having a first ionically bonded wide band gap material dominated by positively charged ionic defects in intimate contact with a second ionically bonded wide band gap material dominated by negatively charged ionic defects forming depleted regions on both sides of the junction resulting in a built-in electric field. Circuit means are provided to establish a voltage across the junction so that radiation impinging upon the junction will cause a current to flow in the circuit.
    Type: Application
    Filed: February 25, 2011
    Publication date: June 21, 2012
    Applicant: Massachusetts Institute of Technology
    Inventors: Harry L. Tuller, Sean R. Bishop
  • Publication number: 20120149182
    Abstract: Silicon wafer processing system, apparatus and method of doping silicon wafers with hot concentrated acid dopant compositions for forming p-n junction and back contact layers during processing into PV solar cells. Highly concentrated acid dopant is atomized with pressurized gas and heated in the range of 80-200° C., then introduced into a concentrated acid vapor processing chamber to apply vapor over 1.5-6 min to wafers moving horizontally on a multi-lane conveyor system through the processing chamber. The wafers are dried and forwarded to a diffusion furnace. An optional UV pre-treatment assembly pre-conditions the wafers with UV radiation prior to dopant application, and doped wafers may be post-treated in a UV treatment module before being fired. The wafers may be cooled in the processing chamber. Post-firing, the wafers exhibit excellent sheet resistance in the 60-95 ?/sq range, and are highly uniform across the wafers and wafer-to-wafer.
    Type: Application
    Filed: September 12, 2011
    Publication date: June 14, 2012
    Applicant: TP SOLAR, INC.
    Inventors: Luis Alejandro Rey Garcia, Peter G. Ragay, Richard W. Parks
  • Patent number: 8183137
    Abstract: The disclosure provides a semiconductor device and method of manufacture therefore. The method for manufacturing the semiconductor device, in one embodiment, includes forming a layer of gate electrode material over a layer of gate dielectric material, wherein the layer of gate dielectric material is positioned over a substrate (210). This method further includes patterning the layer of gate electrode material and the layer of gate dielectric material into an NMOS gate structure (230), wherein the NMOS gate structure (230) includes an NMOS gate dielectric (240) and an NMOS gate electrode (250). This method further includes forming n-type source/drain regions (710) within the substrate (210) proximate the NMOS gate structure (230), and siliciding the NMOS gate electrode (250) to form a silicided gate electrode (1110, 1210). This method additionally includes placing a p-type dopant within the layer of gate electrode material or the NMOS gate electrode (250) prior to or concurrently with siliciding.
    Type: Grant
    Filed: May 23, 2007
    Date of Patent: May 22, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Mark Visokay, Jorge Adrian Kittl