From Solid Dopant Source In Contact With Semiconductor Region Patents (Class 438/558)
  • Patent number: 6746934
    Abstract: An improved atomic layer doping apparatus is disclosed as having multiple doping regions in which individual monolayer species are first deposited and then dopant atoms contained therein are diffused into the substrate. Each doping region is chemically separated from adjacent doping regions. A loading assembly is programmed to follow pre-defined transfer sequences for moving semiconductor substrates into and out of the respective adjacent doping regions. According to the number of doping regions provided, a plurality of substrates could be simultaneously processed and run through the cycle of doping regions until a desired doping profile is obtained.
    Type: Grant
    Filed: November 22, 2002
    Date of Patent: June 8, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej Sandhu, Trung T. Doan
  • Patent number: 6730585
    Abstract: Method of fabricating a lateral, high-voltage, FET having a low on-resistance and a buried conduction layer comprises a P-type buried layer region within an N-well formed in a P-type substrate. The P-type buried layer region is connected to a drain electrode by a first P-type drain diffusion region that is disposed in the N-well region. The P-type buried layer region is also connected to a second P-type drain diffusion region that extends down from the surface at one end of the PMOS gate region. A P-type source diffusion region, which connects to the source electrode, defines the other end of the gate region.
    Type: Grant
    Filed: March 20, 2003
    Date of Patent: May 4, 2004
    Assignee: Power Integrations, Inc.
    Inventor: Donald Ray Disney
  • Publication number: 20040077157
    Abstract: One aspect of the invention relates to a method of forming P-N junctions within a semiconductor substrate. The method involves providing a temporary impurity species, such as fluorine, within the semiconductor crystal matrix prior to solid source in-diffusion of the primary dopant, such as boron. The impurity atom is a faster diffusing species relative to silicon atoms. During in-diffusion, the temporary impurity species acts to reduce the depth to which the primary dopant diffuses and thereby facilitates the formation of very shallow junctions.
    Type: Application
    Filed: October 2, 2003
    Publication date: April 22, 2004
    Inventors: Srinivasan Chakravarthi, P.R. Chidambaram
  • Patent number: 6723587
    Abstract: An ultra small-sized SOI MOSFET having a high integration density, low power consumption, but high performances, and a method of fabricating the same are provided.
    Type: Grant
    Filed: December 31, 2002
    Date of Patent: April 20, 2004
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Won-Ju Cho, Jong-Heon Yang, Moon-Gyu Jang, Seong-Jae Lee, Kyoung-Wan Park, Ki-Ju Im, Ji-Hun Oh
  • Patent number: 6703277
    Abstract: A semiconductor device and a process for fabricating the device, the process including steps of depositing on the silicon substrate a layer comprising at least one high-K dielectric material, whereby a quantity of silicon dioxide is formed at an interface between the silicon substrate and the high-K dielectric material layer; depositing on the high-K dielectric material layer a layer of a metal; and diffusing the metal through the high-K dielectric material layer, whereby the metal reduces at least a portion of the silicon dioxide to silicon and the metal is oxidized to form a dielectric material having a K value greater than silicon dioxide. In another embodiment, the metal is implanted into the interfacial layer. A semiconductor device including such metal layer and implanted metal is also provided.
    Type: Grant
    Filed: April 8, 2002
    Date of Patent: March 9, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Eric N. Paton, Bin Yu
  • Patent number: 6695903
    Abstract: The invention relates to novel boron, phosphorus or boron-aluminium dopant pastes for the production of p, p+ and n, n+ regions in monocrystalline and polycrystalline Si wafers, and of corresponding pastes for use as masking pastes in semiconductor fabrication, power electronics or in photovoltaic applications.
    Type: Grant
    Filed: September 12, 2001
    Date of Patent: February 24, 2004
    Assignee: Merck Patent GmbH
    Inventors: Armin Kübelbeck, Claudia Zielinski, Lilia Heider, Werner Stockum
  • Patent number: 6642152
    Abstract: The present invention relates to a system and a method for reducing the linewidth of ultra thin resist features. The present invention accomplishes this end by applying a densification process to an ultra thin resist having a thickness of less than about 2500 Å formed over a semiconductor structure. In one aspect of the present invention, the method includes providing a semiconductor substrate having a device film layer formed thereon. An ultra thin resist is then deposited over the device film layer. The ultra thin resist is patterned according to a desired structure or feature using conventional photolithography techniques. Following development, the ultra thin resist is implanted with a dopant. After the implantation is substantially completed, the device film layer is anisotropically etched.
    Type: Grant
    Filed: March 19, 2001
    Date of Patent: November 4, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Che-Hoo Ng, Scott Bell, Anne Sanderfer, Christopher Lee Pike
  • Patent number: 6642134
    Abstract: A semiconductor device is provided with semiconducting sidewall spacers used in the formation of source/drain regions. The semiconducting sidewall spacers also reduce the possibility of suicide shorting through shallow source/drain junctions. Embodiments include doping the semiconducting sidewall spacers so that they serve as a source of impurities for forming source/drain extensions during activation annealing.
    Type: Grant
    Filed: September 22, 1999
    Date of Patent: November 4, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Emi Ishida, Scott Luning
  • Publication number: 20030203603
    Abstract: The present invention provides a system and method for creating self-doping contacts to silicon devices in which the contact metal is coated with a layer of dopant and subjected to high temperature, thereby alloying the silver with the silicon and simultaneously doping the silicon substrate and forming a low-resistance ohmic contact to it. A self-doping negative contact may be formed from unalloyed silver which may be applied to the silicon substrate by either sputtering, screen printing a paste or evaporation. The silver is coated with a layer of dopant. Once applied, the silver, substrate and dopant are heated to a temperature above the Ag—Si eutectic temperature (but below the melting point of silicon). The silver liquefies more than a eutectic proportion of the silicon substrate. The temperature is then decreased towards the eutectic temperature.
    Type: Application
    Filed: April 1, 2003
    Publication date: October 30, 2003
    Applicant: Ebara Solar, Inc.
    Inventors: Daniel L. Meier, Hubert P. Davis, Ruth A. Garcia, Joyce A. Jessup
  • Publication number: 20030186521
    Abstract: A method for making devices having either a substrate with CMOS or GaAs circuitry or which is optimized for a particular property is provided. In one alternative, a film layer of thin film functional material is grown on a large diameter growth substrate. One or more protective layers may be deposited on the surface of the growth substrate before the thin film functional material is deposited. Hydrogen is implanted to a selected depth within the growth substrate or within a protective layer to form a hydrogen ion layer. The growth substrate and associated layers are bonded to a second substrate. The layers are split along the hydrogen ion implant and the portion of the growth substrate and associated layer that is on the side of the ion layer away from the second substrate is removed.
    Type: Application
    Filed: March 29, 2002
    Publication date: October 2, 2003
    Inventors: Francis J. Kub, Karl D. Hobart
  • Publication number: 20030170958
    Abstract: When a dummy sidewall (22) and source and drain regions (23) are once formed and then the dummy sidewall (22) is removed to extend the source and drain regions (23), the removal of the dummy sidewall (22) is performed after formation of a protective oxide film (38) on a gate electrode (21) and on the major surfaces of the source and drain regions (23). This efficiently prevents conventional surface roughness of the upper surface of the gate electrode and the impurity region due to the removal of the dummy sidewall.
    Type: Application
    Filed: September 16, 2002
    Publication date: September 11, 2003
    Applicant: MITSUBISHI DENKI KABUSHIKI KAISHA
    Inventors: Kohei Sugihara, Hirokazu Sayama
  • Patent number: 6613626
    Abstract: A CMOS transistor is formed on a single crystal silicon substrate. Active regions are formed on the substrate, including an nMOST active region and a pMOST active region. An epitaxial layer of undoped silicon is formed over the active regions. Out-diffusion from the underlying active regions produces dopant densities within the epitaxial layer one, or more, orders of magnitude lower than dopant densities within the underlying active regions. In a preferred embodiment, the epitaxial layer is counter doped by implanting ions of the opposite type to those within the underlying active region. Counter doping further reduces the dopant density, to reduce the threshold voltage further.
    Type: Grant
    Filed: June 27, 2000
    Date of Patent: September 2, 2003
    Assignee: Sharp Laboratories of America, Inc.
    Inventor: Sheng Teng Hsu
  • Patent number: 6613655
    Abstract: A method of fabricating a system on a chip device. On a substrate having a memory cell region and a peripheral circuit region a gate oxide layer and a polysilicon layer are formed. The peripheral circuit region can further be divided into a logic device region and a hybrid circuit region. A dielectric layer is formed on the peripheral circuit region. A cap layer and a conductive layer are further formed on the polysilicon layer in the memory cell region and on the dielectric layer in the peripheral circuit region. Using the dielectric layer in the peripheral circuit region and the gate oxide layer in the memory cell region as etch stop, the cap layer and the conductive layer in the peripheral circuit region, and the cap layer, the conductive layer and the polysilicon layer are patterned. As a result, at least a gate and a top electrode are formed in the memory cell region and the hybrid circuit region, respectively.
    Type: Grant
    Filed: January 16, 2002
    Date of Patent: September 2, 2003
    Assignee: United Microelectronics Corp.
    Inventors: Sun-Chieh Chien, Chien-Li Kuo
  • Patent number: 6596556
    Abstract: An LED is provided with a p-type semiconductor region in the shape of an island being buried in an n-type semiconductor region from the surface of it, and forms a pn junction at the interface between these n-type region and p-type region. The pn junction has a bottom junction at the bottom of the n-type region and a side junction at the peripheral side face. The bottom junction comprises a first subjunction being deep and constant in junction depth and a second subjunction varying continuously in junction depth. The depth of the second subjunction is shallower than the depth of the first subjunction. The p-type region portion above the second subjunction is thinner in thickness than the p-type region portion above the first subjunction. A light passing through the p-type region portion of the former is less in absorption and more in optical power of the output light. The total power of the output light of the whole LED is increased correspondingly to reduction in thickness of the p-type region.
    Type: Grant
    Filed: May 3, 2002
    Date of Patent: July 22, 2003
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Makoto Ishimaru
  • Patent number: 6569738
    Abstract: A trench MOSFET is formed in a structure which includes a P-type epitaxial layer overlying an N+ substrate. An N-type dopant is implanted through the bottom of the trench into the P-epitaxial layer to form a buried layer below the trench, and after a up-diffusion step a N drain-drift region extends between the N+ substrate and the bottom of the trench. The result is a more controllable doping profile of the N-type dopant below the trench. The body region may also be formed by implanting P-type dopant into the epitaxial layer, in which case the background doping of the epitaxial layer may be either lightly doped P- or N-type. A MOSFET constructed in accordance with this invention can have a reduced threshold voltage and on-resistance and an increased punchthrough breakdown voltage.
    Type: Grant
    Filed: July 3, 2001
    Date of Patent: May 27, 2003
    Assignee: Siliconix, Inc.
    Inventor: Mohamed N. Darwish
  • Patent number: 6548378
    Abstract: The present invention relates to a method for boron doping wafers using a vertical oven system. The vertical oven system (1) used comprises a vertical reaction chamber (2) that extends from an upper end toward a lower end and comprises several independently heated temperature zones (5a-5e). An upper temperature zone (5a) is provided on a gas intake (6) for a boron-containing reactive gas. The additional zones (5b-5e) follow the upper end in the direction toward the lower end of the reaction chamber (2). With this method, the boron-containing reactive gas flows over the wafers (4) inside the reaction chamber. The boron from the boron layer, deposited in this way on the wafers, subsequently diffuses into the wafer surface.
    Type: Grant
    Filed: August 30, 2001
    Date of Patent: April 15, 2003
    Assignee: Vishay Semiconductor Itzehoe GmbH
    Inventors: Henning Boness, Patrick Press
  • Publication number: 20030067037
    Abstract: An improved method and system for laser doping a semiconductor material is described. In the invention, phosphorous nitride is used as a dopant source. The phosphorous nitride is brought into close proximity with a region of the semiconductor to be doped. A pulse of laser light decomposes the phosphorous nitride and briefly melts the region of semiconductor to be doped to allow incorporation of dopant atoms from the phosphorous nitride into the semiconductor.
    Type: Application
    Filed: October 28, 2002
    Publication date: April 10, 2003
    Applicant: Xerox Corporation
    Inventors: Jeng Ping Lu, Ping Mei, James B. Boyce
  • Publication number: 20030064574
    Abstract: A structure and method for a field effect transistor capable of handling high currents, comprises interleaved source and drain diffusion regions with drain diffusion contacts to a first metal level over the drain diffusions only; while a second metal level covers the full width of the device and takes current out of the source in a primarily vertical direction.
    Type: Application
    Filed: October 1, 2001
    Publication date: April 3, 2003
    Inventors: Scott M. Parker, Steven J. Tanghe
  • Patent number: 6541353
    Abstract: An improved atomic layer doping apparatus is disclosed as having multiple doping regions in which individual monolayer species are first deposited and then dopant atoms contained therein are diffused into the substrate. Each doping region is chemically separated from adjacent doping regions. A loading assembly is programmed to follow pre-defined transfer sequences for moving semiconductor substrates into and out of the respective adjacent doping regions. According to the number of doping regions provided, a plurality of substrates could be simultaneously processed and run through the cycle of doping regions until a desired doping profile is obtained.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: April 1, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej Sandhu, Trung T. Doan
  • Patent number: 6531379
    Abstract: The present invention employs a scanned atomic force probe to physical incorporate impurity atoms (dopant or bandgap) into a semiconductor substrate so that the impurity atoms have high resolution and improved placement. Specifically, the method of the present invention comprising a step of physically contacting a semiconductor surface having a layer of a dopant/bandgap source material thereon such that upon said physical contact impurity atoms from the dopant/bandgap source material are driven into the semiconductor substrate.
    Type: Grant
    Filed: April 9, 2001
    Date of Patent: March 11, 2003
    Assignee: International Business Machines Corporation
    Inventors: Toshiharu Furukawa, John Joseph Ellis-Monaghan, James Albert Slinkman
  • Patent number: 6531359
    Abstract: A method for fabricating a memory cell array, in particular an EPROM or EEPROM memory cell array, includes burying insulation zones on a silicon substrate in accordance with an STI (Shallow Trench Isolation) technique, forming word lines on the insulation zones, covering the word lines with a hard mask and side wall oxides and CVD depositing an oxide or nitride laterally onto the hard mask and onto the side wall oxides to define a spacer. Spacer channels are etched into the insulation zones between adjoining word lines. An SAS (Self Aligned Source) resist mask is applied to mask each two adjacent coated word lines on mutually facing sections, including the spacer channel located between these word lines, while each two adjacent masked word lines of masked word line pairs remain unmasked on mutually facing sections. The SAS resist mask is exposed.
    Type: Grant
    Filed: June 19, 2000
    Date of Patent: March 11, 2003
    Assignee: Infineon Technologies AG
    Inventors: Georg Tempel, Christoph Kutter
  • Patent number: 6524880
    Abstract: A technique for fabricating a solar cell includes an n+ emitter region first being formed on a front surface of the cell, and then front and rear insulating layers being formed on both sides of the cell. P (Phosphorus)-source and B (Boron)-source are printed on the front and rear insulating layers, respectively, and then both dopants are diffused into the cell at high temperature. Therefore, n++ region in the front side of the cell and BSF (back surface field) region in the rear side of the cell is formed. Front and rear contact patterns are formed on the front and rear insulating layers, respectively. The n++ region and BSF region are exposed after front and rear contacts are formed on the front and rear insulating layers, respectively. The front and rear contacts contact the n++ region and BSF region, respectively.
    Type: Grant
    Filed: July 25, 2001
    Date of Patent: February 25, 2003
    Assignee: Samsung SDI Co., Ltd.
    Inventors: In-Sik Moon, Dong-Seop Kim, Soo-Hong Lee
  • Patent number: 6518113
    Abstract: Work function control layers are provided in in-laid, metal gate electrode, Si-based MOS transistors and CMOS devices by a process which avoids deleterious dopant implantation processing resulting in damage to the thin gate insulator layer and undesirable doping of the underlying channel region. According to the invention, an amorphous Si layer is formed over the thin gate insulator layer by a low energy deposition process which does not adversely affect the gate insulator layer and subsequently doped by means of another low energy process, e.g., low sheath voltage plasma doping, which does not damage the gate insulator layer or dope the underlying channel region of the Si-based substrate. Subsequent thermal processing during device manufacture results in activation of the dopant species and conversion of the a-Si layer to a doped polycrystalline Si layer of substantially increased electrical conductivity.
    Type: Grant
    Filed: February 6, 2001
    Date of Patent: February 11, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Matthew S. Buynoski
  • Patent number: 6506655
    Abstract: A method of manufacturing a bipolar transistor in an N-type semiconductor substrate, including the steps of depositing a first base contact polysilicon layer and doping it; depositing a second silicon oxide layer; forming in the first and second layers an opening; annealing to form a third thin oxide layer and harden the second oxide layer; implanting a P-type dopant; depositing a fourth silicon nitride layer; depositing a fifth silicon oxide layer and etching it; anisotropically etching the fifth, fourth, and third layers; performing cleanings during which the fifth layer is reetched and takes a flared profile; depositing a sixth polysilicon layer; and implanting an N-type dopant.
    Type: Grant
    Filed: March 2, 2000
    Date of Patent: January 14, 2003
    Assignee: STMicroelectronics S.A.
    Inventors: Yvon Gris, Germaine Troillard
  • Patent number: 6500741
    Abstract: An electrical device such as a diode usable in high voltage applications wherein the electrical device is fabricated from a method which yields a plurality of high voltage electrical devices, the present method including providing a substrate of a semiconductor material having a predetermined substrate conductive type, the substrate being typically formed from a monocrystalline growth method, forming a second epitaxial layer contiguous with the upper surface of the substrate, the epitaxial layer having a predetermined second layer conductive type, and thereafter forming a top layer of dopant material in a predetermined pattern upon the upper surface of the second epitaxial layer. This predetermined pattern of dopant material typically takes the form of an array of patches which can be achieved through either a masking and etching process, or through a screen printing process.
    Type: Grant
    Filed: March 28, 2002
    Date of Patent: December 31, 2002
    Assignee: Fabtech, Inc.
    Inventors: Walter R. Buchanan, Roman J. Hamerski
  • Publication number: 20020197806
    Abstract: Methods are provided that use disposable and permanent films to dope underlying layers through diffusion. Additionally, methods are provided that use disposable films during implantation doping and that provide a surface from which to dope underlying materials. Some of these disposable films can be created from a traditionally non-disposable film and made disposable. In this manner, solvents may be used that do not etch underlying layers of silicon-based materials. Preferably, deep implantation is performed to form source/drain regions, then an anneal step is performed to activate the dopants. A conformal layer is deposited and implanted with dopants. One or more anneal steps are performed to create very shallow extensions in the source/drain regions.
    Type: Application
    Filed: August 16, 2002
    Publication date: December 26, 2002
    Inventors: Toshiharu Furukawa, Mark C. Hakey, Steven J. Holmes, David V. Horak, William H-L Ma, Patricia M. Marmillion, Donald W. Rakowski
  • Patent number: 6479885
    Abstract: An electrical device such as a diode usable in high voltage applications wherein the electrical device is fabricated from a method which yields a plurality of high voltage electrical devices, the present method including providing a substrate of a semiconductor material having a predetermined substrate conductive type, the substrate being typically formed from a monocrystalline growth method, forming a second epitaxial layer contiguous with the upper surface of the substrate, the epitaxial layer having a predetermined second layer conductive type, and thereafter forming a top layer of dopant, material in a predetermined pattern upon the upper surface of the second epitaxial layer. This predetermined pattern of dopant material typically takes the form of an array of patches which can be achieved through either a masking and etching process, or through a screen printing process.
    Type: Grant
    Filed: March 28, 2002
    Date of Patent: November 12, 2002
    Assignee: Fabtech, Inc.
    Inventors: Walter R. Buchanan, Roman J. Hamerski
  • Patent number: 6461948
    Abstract: A method of doping silicon that involves placing a silicon wafer in spaced relationship to a solid phosphorus dopant source at a first temperature for a time sufficient to deposit a phosphorus-containing layer on the surface of the wafer and subsequently oxidizing the doped silicon wafer with wet oxygen or pyrogenic steam at a second temperature lower than the first temperature. The silicon wafer is maintained in spaced relationship to the solid phosphorus dopant source during the oxidizing step. The temperatures are selected such that the solid phosphorus dopant source evolves P2O5 at the first temperature and the second temperature is sufficiently lower than the first temperature to decrease evolution of P2O5 from the solid phosphorus dopant source during the oxidizing step.
    Type: Grant
    Filed: March 29, 2000
    Date of Patent: October 8, 2002
    Assignee: Techneglas, Inc.
    Inventors: James E. Rapp, Russell B. Rogenski
  • Patent number: 6461947
    Abstract: To form an impurity diffusion layer on only one side of a semiconductor substrate at least one semiconductor substrate and at least one diffusion protecting plate are put close to each other and a first impurity diffusion is perfomed on them, or at least one semiconductor substrate and at least one diffusion protecting plate are put close to each other and a first impurity diffusion is performed on them and then the semiconductor substrate and the diffusion protecting plate are arranged such that those sides on which the impurity diffusion has been performed face each other and a second impurity diffusion is performed. The diffusion protecting plate may be replaced by a semiconductor substrate. The first and second impurity diffusions may be performed using an impurity of the same conductivity type.
    Type: Grant
    Filed: September 7, 2000
    Date of Patent: October 8, 2002
    Assignee: Hitachi, Ltd.
    Inventors: Tsuyoshi Uematsu, Yoshiaki Yazawa, Hiroyuki Ohtsuka, Ken Tsutsui
  • Patent number: 6458693
    Abstract: A semiconductor device which can reduce contact resistance, is disclosed. A semiconductor device according to the present invention includes a lower conductor pattern and an upper conductor pattern. The lower conductor pattern is in contact with the upper conductor pattern. The lower conductor pattern includes a first doped polysilicon layer, a first tungsten silicide layer and a cap layer formed sequentially. Here, the cap layer is formed to a doped polysilicon layer containing a small amount of tungsten and has stoichiometrical equivalent ratio x of Si higher than the first tungsten silicide layer. The upper conductor pattern includes a second doped polysilicon layer and a second tungsten layer formed sequentially. The contact of lower conductor pattern and the upper conductor pattern is substantially formed between the cap layer and the second doped polysilicon layer. Preferably, stoichiometrical equivalent ratio x of Si for the first tungsten silicide layer is 2.3 to 2.
    Type: Grant
    Filed: June 9, 1999
    Date of Patent: October 1, 2002
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Sang Wook Park, Min Sik Jang
  • Patent number: 6440797
    Abstract: A method for fabricating a SONOS device having a buried bit-line including the steps of: providing a semiconductor substrate having an ONO structure overlying the semiconductor substrate; forming a nitride barrier layer on the ONO structure to form a four-layer stack; forming a patterned photoresist layer on the nitride barrier layer; implanting As or P ions through the four-layer stack to form a bit-line buried under the ONO structure; stripping the photoresist layer and cleaning an upper surface of the four-layer stack; and consolidating the four-layer stack by applying an oxidation cycle. The invention further relates to a SONOS-type device including the nitride barrier layer.
    Type: Grant
    Filed: September 28, 2001
    Date of Patent: August 27, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Yider Wu, Jean Yee-Mei Yang, Mark Ramsbey, Emmanuel H. Lingunis, Yu Sun
  • Patent number: 6436800
    Abstract: A fabrication method for a nonvolatile memory with a shallow junction is described. A gate structure, comprising an electron-trapping layer and a conductive layer, is formed on a substrate. A doped spacer is formed on the sidewall of the gate structure. Buried bit lines are further formed in the substrate beside the gate structure. Thereafter, thermal process is conducted to diffuse the dopants from the doped spacer into the substrate adjacent to the buried bit lines.
    Type: Grant
    Filed: November 20, 2001
    Date of Patent: August 20, 2002
    Assignee: Macronix International Co., Ltd.
    Inventors: Tung-Cheng Kuo, Shou-Wei Hung, Chien-Hung Liu, Shyi-Shuh Pan
  • Patent number: 6429037
    Abstract: A method of making contacts on solar cells is disclosed. The front surface (41) of a substrate (11) is coated with a dielectric or surface masking layer or layers (12) that contains dopants of the opposite polarity to those used in the surface of the substrate material (11). The dielectric layers or layers (12) not only acts as a diffusion source for forming the emitter for the underlying substrate (11) when heat treated, but also acts as a metallization mask during the subsequent electroless plating with solutions such as nickel and copper. The mask may be formed by laser scribing (14) which melts the layer or layers (12), thereby more heavily doping and exposing zones (15) where metallization is required.
    Type: Grant
    Filed: December 20, 2000
    Date of Patent: August 6, 2002
    Assignee: Unisearch Limited
    Inventors: Stuart Ross Wenham, Martin Andrew Green
  • Patent number: 6426291
    Abstract: A method of forming ultra-shallow source/drain junctions in MOS devices by co-depositing cobalt or nickel with an n or p-type dopant following a seeding step. The co-deposition of cobalt or nickel with the dopant is done either via an electroless or an electrodeposition. The co-deposited Co(P) or Ni(P) is capped with a layer of PVD elemental titanium. The wafer is then annealed such that the titanium partially alloys with the cobalt or nickel and getters some of the cobalt or nickel limiting the thickness of the cobalt or nickel salicide which forms over exposed regions of the silicon substrate. The excess metal layers are removed with a wet etch, such as a piranha etch. A subsequent drive step forms ultra-shallow source/drain junctions using the doped cobalt or nickel salicide as a diffusion source.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: July 30, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Yongjun Jeff Hu, Li Li
  • Publication number: 20020072200
    Abstract: Integrated circuits, semiconductor devices and methods for making the same are described. Each embodiment shows a diffused, doped backside layer in a device wafer that is oxide bonded to a handle wafer. The diffused layer may originate in the device handle, in the handle wafer, in the bond oxide or in an additional semiconductor layer of polysilicon or epitaxial silicon. The methods use a thermal bond oxide or a combination of a thermal and deposited oxide.
    Type: Application
    Filed: September 24, 2001
    Publication date: June 13, 2002
    Applicant: Harris Corporation
    Inventors: Joseph A. Czagas, Dustin A. Woodbury, James D. Beasom
  • Patent number: 6391691
    Abstract: To provide a method of fabricating a thin film transistor which is capable of achieving a good ohmic contact between source and drain electrodes and a semiconductor layer, thereby solving problems of the conventional method. A first semiconductor layer containing impurities is formed on substantially oxygen-free metal source and drain electrodes. The impurities contained in the first semiconductor layer are allowed to diffuse into a substrate and the source and drain electrodes. An H2 plasma etching processing is performed to selectively etch the first semiconductor layer and a region of the substrate containing the impurities. A second semiconductor layer is formed on the source and drain electrodes. The impurities contained in the source and drain electrodes are allowed to diffuse into the second semiconductor layer, thus forming an ohmic contact layer.
    Type: Grant
    Filed: May 12, 2000
    Date of Patent: May 21, 2002
    Assignee: International Business Machines Corporation
    Inventors: Takatoshi Tsujimura, Takashi Miyamoto
  • Patent number: 6380040
    Abstract: High integrity cobalt silicide contacts are formed with shallow source/drain junctions. Embodiments include depositing a layer of cobalt on a substrate above intended source/drain regions, followed by silicidation and diffusing impurities from a doped film during or after silicidation in an environment which discourages out-diffusion of the impurities to the environment. The resulting source/drain junctions are self-aligned to the cobalt silicide/silicon substrate interface, thereby preventing junction leakage while advantageously enabling forming the cobalt silicide contacts at optimum thickness to avoid parasitic series resistances. The formation of self-aligned source/drain junctions to the cobalt silicide/silicon substrate interface facilitates reliable device scaling, while the avoidance of unwanted diffusion of impurities to the environment assures adequate doping of the source/drain regions.
    Type: Grant
    Filed: August 1, 2000
    Date of Patent: April 30, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Nick Kepler, Karsten Wieczorek, Larry Wang, Paul R. Besser
  • Patent number: 6376346
    Abstract: An electrical device such as a diode usable in high voltage applications wherein the electrical device is fabricated from a method which yields a plurality of high voltage electrical devices, the present method including providing a substrate of a semiconductor material having a predetermined substrate conductive type, the substrate being typically formed from a monocrystalline growth method, forming a second epitaxial layer contiguous with the upper surface of the substrate, the epitaxial layer having a predetermined second layer conductive type, and thereafter forming a top layer of dopant material in a predetermined pattern upon the upper surface of the second epitaxial layer. This predetermined pattern of dopant material typically takes the form of an array of patches which can be achieved through either a masking and etching process, or through a screen printing process.
    Type: Grant
    Filed: September 28, 2000
    Date of Patent: April 23, 2002
    Assignee: FabTech, Inc.
    Inventors: Walter R. Buchanan, Roman J. Hamerski
  • Patent number: 6372589
    Abstract: A method of fabricating an integrated circuit (IC) with source and drain extension regions. Advantageously, the source and drain extension regions are formed without damage related to integrated circuit implant techniques. Damage is avoided by using solid phase doping to form extension regions. Generally, a doped material is provided adjacent to a transistor gate structure and the IC is annealed. During the annealing process, dopants from the doped material diffuse into the semiconductor substrate to form the source and drain extension regions. The process can be utilized for P-channel or N-channel metal oxide field semiconductor effect transistors (MOSFETs).
    Type: Grant
    Filed: April 19, 2000
    Date of Patent: April 16, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Bin Yu
  • Patent number: 6365493
    Abstract: A method for doping crystals is disclosed. The method includes a receiver for receiving semiconductor spheres and doping powder. The semiconductor spheres and dopant powder are then directed to a chamber defined within an enclosure. The chamber maintains a heated, inert atmosphere with which to diffuse the dopant to the semiconductor spheres.
    Type: Grant
    Filed: January 24, 2000
    Date of Patent: April 2, 2002
    Assignee: Ball Semiconductor, Inc.
    Inventors: Evangellos Vekris, Nainesh J. Patel, Murali Hanabe
  • Patent number: 6355544
    Abstract: Extremely high dopant concentrations are uniformly introduced into a semiconductor material by laser annealing aided by an anti-reflective coating (ARC). A spin-on-glass (SOG) film containing dopant is formed on top of the semiconductor material. An ARC is then formed over the doped SOG layer. Application of radiation from an excimer laser to the ARC heats and melts the doped SOG film and the underlying semiconductor material. During this melting process, dopant from the SOG film diffuses uniformly within the semiconductor material. Upon removal of the laser radiation, the semiconductor material cools and crystallizes, evenly incorporating the diffused dopant within its lattice structure. The ARC suppresses reflection of the laser by the doped material, promoting efficient transfer of energy from the laser to heat and melt the underlying doped layer and semiconductor material.
    Type: Grant
    Filed: July 20, 2000
    Date of Patent: March 12, 2002
    Assignee: National Semiconductor Corporation
    Inventors: Stepan Essaian, Abdalla A. Naem
  • Patent number: 6355530
    Abstract: A method of manufacturing a mask ROM. A sacrificial silicon oxide layer is formed on the active region upon the substrate. Patterning the sacrificial silicon oxide layer in order to form a plurality of parallel openings, thereby exposing a portion of the active region. A polysilicon layer is formed on the openings and openings are formed thereon. An ion implantation process is performed on the polysilicon layer. Using a thermal flow process, the ions within the polysilicon layer are driven through the openings into the lower portion of the substrate, thereby forming an ion doping region. The polysilicon layer is etchbacked until the sacrificial silicon oxide layer is exposed. The sacrificial silicon oxide layer is removed.
    Type: Grant
    Filed: August 2, 2000
    Date of Patent: March 12, 2002
    Assignee: United Microelectronics Corp.
    Inventors: James Ho, Cheng-Hui Chung, Chen-Bin Lin
  • Publication number: 20020022367
    Abstract: A method for fabricating a semiconductor substrate includes forming a suicide layer at a predetermined portion of a semiconductor substrate, implanting two or more impurity ions before annealing, and forming an impurity region in the semiconductor substrate by annealing the silicide layer and by diffusing the impurity ions from the silicide layer into the semiconductor substrate. Accordingly, the present invention can improve reliability and performance of a semiconductor device by reducing dopant loss and leakage current of a PN junction in the substrate and by decreasing a sheet resistance of the silicide layer. The dose of the second implanter ions is about one hundred to one thousand times less than the dose of the first implanted ions.
    Type: Application
    Filed: November 5, 1999
    Publication date: February 21, 2002
    Inventors: JI SOO PARK, DONG KYUN SON
  • Patent number: 6344390
    Abstract: There is disclosed a method of forming a buried strap (BS) and its quantum conducting barrier (QCB) in a structure wherein a doped polycrystalline silicon region is exposed at the bottom of a recess and separated from a monocrystalline region of a silicon substrate by a region of an insulating material. First, a thin continuous layer of undoped amorphous silicon is deposited by LPCVD to coat said regions. The surface of this layer is nitridized to produce a Si3N4 QCB film. Now, at least one dual layer comprised of an undoped amorphous silicon layer and a dopant monolayer is deposited onto the structure by LPCVD. The recess is filled with undoped amorphous silicon to terminate the buried strap and its QCB. Finally, the structure is heated to activate the dopants in the buried strap to allow an electrical continuity between said polycrystalline and monocrystalline regions through the QCB by a quantum mechanical effect. All these steps are performed in situ in the same LPCVD tool.
    Type: Grant
    Filed: June 30, 2000
    Date of Patent: February 5, 2002
    Assignee: International Business Machines Corporation
    Inventors: Mathias Bostelmann, Corine Bucher, Patrick Raffin, Francis Rodier, Jean-Marc Rousseau
  • Patent number: 6342441
    Abstract: A method for fabricating a semiconductor substrate includes forming a silicide layer at a predetermined portion of a semiconductor substrate, implanting two or more impurity ions before annealing, and forming an impurity region in the semiconductor substrate by annealing the silicide layer and by diffusing the impurity ions from the silicide layer into the semiconductor substrate. Accordingly, the present invention can improve reliability and performance of a semiconductor device by reducing dopant loss and leakage current of a PN junction in the substrate and by decreasing a sheet resistance of the silicide layer. The dose of the second implanter ions is about one hundred to one thousand times less than the dose of the first implanted ions.
    Type: Grant
    Filed: November 5, 1999
    Date of Patent: January 29, 2002
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Ji Soo Park, Dong Kyun Son
  • Patent number: 6342422
    Abstract: A gate insulator layer is formed over the semiconductor substrate and a first silicon layer is then formed over the gate insulator layer. A first dielectric layer is formed over the first silicon layer. A gate region is defined by removing a portion of the gate insulator layer, the first silicon layer, and the first dielectric layer. A doping step using low energy implantation or plasma immersion is carried out to dope the substrate to form an extended source/drain junction in the substrate under a region uncovered by the gate region. An undoped spacer structure is formed on sidewalls of the gate region and the first dielectric layer is removed. A second silicon layer is formed on the semiconductor substrate and on the first silicon layer. Another doping step is performed to dope the second silicon layer. A series of process is then performed to form a metal silicide layer on the second silicon layer and also to diffuse and activate the doped dopants.
    Type: Grant
    Filed: November 15, 1999
    Date of Patent: January 29, 2002
    Assignee: TSMC-Acer Semiconductor Manufacturing Company
    Inventor: Shye-Lin Wu
  • Patent number: 6340535
    Abstract: This invention relates to a method for the heat treatment of a ZnSe crystal substrate to dope it with Al as a donor impurity, a ZnSe crystal substrate prepared by this heat treatment and a light-emitting device using the ZnSe crystal substrate, in particular, the method for the heat treatment of a ZnSe crystal substrate comprising previously forming an Al film on the substrate, first subjecting the substrate to a heat treatment in a Se atmosphere and then subjecting to a heat treatment in a Zn atmosphere.
    Type: Grant
    Filed: May 18, 2001
    Date of Patent: January 22, 2002
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Yasuo Namikawa, Shinsuke Fujiwara
  • Patent number: 6331493
    Abstract: A low dielectric constant material and a process for controllably reducing the dielectric constant of a layer of such material is provided and comprises the step of exposing the layer of dielectric material to a concentration of an oxygen plasma at a temperature and a pressure sufficient for the oxygen plasma to etch the layer of dielectric material to form voids in the layer of dielectric material. The process may also include the step of controlling the reduction of the dielectric constant by controlling the size and density of the voids. The size and density of the voids can be controlled by varying the pressure under which the reaction takes place, by varying the temperature at which the reaction takes place, by varying the concentration of the oxygen plasma used in the reaction or by varying a combination of these parameters. The process of the present invention is particularly useful in the fabrication of semiconductor devices.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: December 18, 2001
    Assignee: Micron Technology, Inc.
    Inventor: Sujit Sharan
  • Patent number: 6329251
    Abstract: Within a method for fabricating a microelectronic device there is first provided a silicon substrate. There is then formed upon the silicon substrate a first series of structures having a comparatively narrow spacing which leaves exposed a first series of comparatively narrow portions of the silicon substrate, and where the first series of structures is separated from a second series of structures also formed upon the silicon substrate, the second series of structures having a comparatively wide spacing which leaves exposed a second series of comparatively wide portions of the silicon substrate. There is then masked one of the first series of comparatively narrow portions of the silicon substrate and the second series of comparatively wide portions of the silicon substrate.
    Type: Grant
    Filed: August 10, 2000
    Date of Patent: December 11, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd
    Inventor: Cheng-Ming Wu
  • Patent number: 6329273
    Abstract: A method of manufacturing a flash memory device in which minimal gate edge lifting is accomplished by minimally oxidizing the gate stack and exposed surface of the substrate, anisotropically etching the layer of oxide from the substrate, forming a doped solid source material on portions of the substrate in which source regions are to be formed and diffusing the dopants from the solid source material into the substrate.
    Type: Grant
    Filed: October 29, 1999
    Date of Patent: December 11, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Timothy Thurgate, Carl Robert Huster