Having Adhesion Promoting Layer Patents (Class 438/628)
  • Patent number: 6740580
    Abstract: A method to form copper interconnects is described. The method may be used to form single or dual damascene interconnects. The addition of an aluminum barrier layer to the conventional barrier layer creates a superior barrier to copper diffusion. A substrate layer is provided. A dielectric layer is deposited overlying the substrate layer. The dielectric layer patterned to form interconnect trenches. An optional titanium adhesion layer may be deposited. An aluminum barrier layer is deposited overlying the interior surfaces of the trenches. A second barrier layer, comprising for instance titanium and titanium nitride, is deposited overlying the aluminum barrier layer. A copper layer is deposited overlying the second barrier layer and filling the interconnect trenches. The copper layer, the second barrier layer, and the aluminum barrier layer are polished down to the top surface of the dielectric layer to define the copper interconnects, and complete the fabrication of the integrated circuit device.
    Type: Grant
    Filed: September 3, 1999
    Date of Patent: May 25, 2004
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Subhash Gupta, Chyi S. Chern, Mei Sheng Zhou
  • Publication number: 20040092095
    Abstract: Methods and apparatus for protecting the dielectric layer sidewalls of openings, such as vias and trenches, in semiconductor substrates are provided. A pre-liner and a liner are deposited over the sidewalls of the openings as part of integrated processing sequences that either do not remove the photoresist until subsequent processing or remove the photoresist with a plasma etch that does not contaminate the sidewalls of the openings.
    Type: Application
    Filed: November 12, 2002
    Publication date: May 13, 2004
    Applicant: Applied Materials, Inc.
    Inventors: Son Van Nguyen, Li-Qun Xia, Srinivas D. Nemani
  • Publication number: 20040087135
    Abstract: A structure incorporates very low dielectric constant (k) insulators with copper wiring to achieve high performance interconnects. The wiring is supported by a relatively durable low k dielectric such as SiLk or SiO2 and a very low k and less robust gap fill dielectric is disposed in the remainder of the structure, so that the structure combines a durable layer for strength with a very low k dielectric for interconnect electrical performance.
    Type: Application
    Filed: October 24, 2002
    Publication date: May 6, 2004
    Applicant: International Business Machines Corporation
    Inventors: Donald F. Canaperi, Timothy J. Dalton, Stephen M. Gates, Mahadevaiyer Krishnan, Satya V. Nitta, Sampath Purushothaman, Sean P.E. Smith
  • Patent number: 6727177
    Abstract: Embodiments of the invention include a method for forming a copper interconnect having a bi-layer copper barrier layer. The method involves providing a substrate having an insulating layer with an opening therein configured to receive an inlaid conducting structure. A copper seed layer is formed on the insulating layer and in the opening. The seed layer is implanted with barrier material ions to form an implanted seed layer. Upon the implanted seed layer is formed a bulk copper-containing layer. The substrate is then annealed so that barrier material ions migrate through the seed layer to an interface between the seed layer and the insulating layer to form a final barrier layer. The barrier material can include palladium, chromium, tantalum, magnesium, and molybdenum.
    Type: Grant
    Filed: October 18, 2001
    Date of Patent: April 27, 2004
    Assignee: LSI Logic Corporation
    Inventors: Wilbur G. Catabay, Zhihai Wang, Ping Li
  • Patent number: 6723631
    Abstract: The copper interconnect formed by the use of a damascene technique is improved in dielectric breakdown strength (reliability). During post-CMP cleaning, alkali cleaning, a deoxidizing process due to hydrogen annealing or the like, and acid cleaning are carried out in this order. After the post-CMP cleaning and before forming an insulation film for a cap film, hydrogen plasma and ammonia plasma processes are carried out on the semiconductor substrate. In this way, a copper-based buried interconnect is formed in an interlayer insulation film structured of an insulation material having a low dielectric constant.
    Type: Grant
    Filed: September 28, 2001
    Date of Patent: April 20, 2004
    Assignee: Renesas Technology Corporation
    Inventors: Junji Noguchi, Shoji Asaka, Nobuhiro Konishi, Naohumi Ohashi, Hiroyuki Maruyama
  • Patent number: 6723628
    Abstract: Certain embodiments of the present invention relate to a semiconductor device that has a pad section having an excellent coherency with an interlayer dielectric layer, and a method for manufacturing the same. A semiconductor device 1000 has a pad layer 30A formed over an interlayer dielectric layer 20. The pad section 30A includes a wetting layer 32 and a metal wiring layer 37. The metal wiring layer 37 includes an alloy layer 34 that contacts the wetting layer 32. The alloy layer 34 is formed from a material composing the wetting layer 32 and a material composing the metal wiring layer 37.
    Type: Grant
    Filed: March 27, 2001
    Date of Patent: April 20, 2004
    Assignee: Seiko Epson Corporation
    Inventors: Kazuki Matsumoto, Yukio Morozumi, Michio Asahina
  • Patent number: 6716744
    Abstract: A method of adhering copper thin film to a substrate in an integrated circuit structure includes preparing a substrate, including forming active regions and trenches for interconnect structures; depositing a metal barrier layer on the substrate; depositing an ultra thin film layer of tungsten over the barrier metal layer; depositing a copper thin film on the tungsten ultra thin film layer; removing excess copper and tungsten to the level of the metal barrier layer; and completing the integrated circuit structure.
    Type: Grant
    Filed: May 6, 2002
    Date of Patent: April 6, 2004
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Wei Pan, David R. Evans, Sheng Teng Hsu
  • Patent number: 6713377
    Abstract: A method with three embodiments of manufacturing metal lines and solder bumps using electroless deposition techniques. The first embodiment uses a PdSix seed layer 50 for electroless deposition. The PdSix layer 50 does not require activation. A metal line is formed on a barrier layer 20 and an adhesion layer 30. A Palladium silicide seed layer 50 is then formed and patterned. Ni, Pd or Cu is electroless deposited over the Palladium silicide layer 50 to form a metal line. The second embodiment selectively electrolessly deposits metal 140 over an Adhesion layer 130 composed of Poly Si, Al, or Ti. A photoresist pattern 132 is formed over the adhesion layer. A metal layer 140 of Cu or Ni is electrolessly deposited over the adhesion layer. The photoresist layer 132 is removed and the exposed portion of the adhesion layer 130 and the underlying barrier metal layer 120 are etched thereby forming a metal line.
    Type: Grant
    Filed: May 28, 2002
    Date of Patent: March 30, 2004
    Assignee: Industrial Technology Research Institute
    Inventors: Chwan-Ying Lee, Tzuen-Hsi Huang
  • Patent number: 6709874
    Abstract: A semiconductor device (100) having a copper damascene BEOL structure. A metal cap layer (120) is formed over conductive lines (118) to prevent oxidation of the conductive lines (118) during subsequent processing steps. The metal cap layer (120) comprises a material other than the conductive line (118) material that is resistant to oxidation. The structure (100) is particularly beneficial for MRAM devices.
    Type: Grant
    Filed: March 2, 2001
    Date of Patent: March 23, 2004
    Assignee: Infineon Technologies AG
    Inventor: Xian J. Ning
  • Patent number: 6706629
    Abstract: A new method is provided is creating metal interconnect comprising copper. A first embodiment of the invention provides for the application of a doped layer of copper. A second embodiment of the invention provides for the deposition of a silicon nitride layer as an inter-barrier film over surfaces of an opening created in a layer of dielectric followed by removing the layer of silicon nitride from the bottom of the opening followed by depositing a doped copper-alloy seed layer over surfaces of the opening followed by plating a layer of copper over the copper-alloy seed layer.
    Type: Grant
    Filed: January 7, 2003
    Date of Patent: March 16, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Jing-Cheng Lin, Cheng-Lin Huang, Winston Shue, Mong-Song Liang
  • Patent number: 6706628
    Abstract: A method for forming a thin film and a method for fabricating a liquid crystal display device using the same are provided. The method provides a process that is simplified. Uniform thin film characteristics can be obtained. The method for forming a thin film includes the steps of forming a diffusion barrier film on a substrate, forming a metal seed layer on the diffusion barrier film, removing a metal oxide film formed on a surface of the metal seed layer using an electric plating method, and depositing metal on the metal seed layer in which the metal oxide film is removed.
    Type: Grant
    Filed: November 2, 2001
    Date of Patent: March 16, 2004
    Assignee: LG. Philips LCD Co., Ltd.
    Inventors: Soo Kil Kim, Jong Uk Bae, Jae Jeong Kim
  • Patent number: 6703307
    Abstract: A method of fabricating an integrated circuit can include forming a barrier layer along lateral side walls and a bottom of a via aperture, forming a seed layer proximate and conformal to the barrier layer, and forming an implanted layer proximate and conformal to the barrier layer and the seed layer. The via aperture is configured to receive a via material that electrically connects a first conductive layer and a second conductive layer.
    Type: Grant
    Filed: November 26, 2001
    Date of Patent: March 9, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Sergey D. Lopatin, Paul R. Besser, Matthew S. Buynoski
  • Publication number: 20040023515
    Abstract: A method of silanizing the surface of a low-k interlayer dielectric oxides (carbon doped oxides or organo-silicate glasses) to improve surface adhesion to adjacent thin film layers in damascene integration of microelectronic devices. A low-k interlayer dielectric oxide may be exposed to the vapor of a silane-coupling agent in order to modify its surface energy to improve adhesion with adjacent thin film layers. A low-k interlayer dielectric oxide can also be silanized by dipping the low-k interlayer dielectric oxide in a solution of silane-coupling agent. The silane-coupling agent will cause covalent bonds between the low-k interlayer dielectric oxide and the adjacent thin film thereby improving adhesion.
    Type: Application
    Filed: August 1, 2002
    Publication date: February 5, 2004
    Inventors: David H. Gracias, Vijayakumar S. Ramachandrarao
  • Publication number: 20040018718
    Abstract: A manufacturing method of a plug structure having low contact resistance includes the following steps. First, a silicon substrate and a BPSG layer covering thereon are provided. The silicon substrate has a dopant area. Next, the BPSG layer is etched to form a contact window to be contiguous with the dopant area. If the dopant area is doped with Boron, a silicon-germanium layer is formed upon the dopant area as a barrier layer. Then, a barrier layer is formed next to the contact window, and a metal plug surrounded by the barrier layer is formed. After conductive interconnecting lines are formed upon the BPSG layer, a rapid thermal annealing is adopted to reactivate the dopant area. In the case that the Boron is doped in dopant area, the silicon-germanium layer keeps the Boron from migrating to the barrier layer to lower the contact resistance of the plug structure.
    Type: Application
    Filed: November 15, 2002
    Publication date: January 29, 2004
    Inventor: Neng-Kuo Chen
  • Patent number: 6677231
    Abstract: A first dielectric layer 310 is formed on a substrate, wherein the first dielectric layer is a low-K material of an organic polymer. An adhesion promoter is then deposited on the first dielectric layer by chemical vapor deposition to form a first interlayer, wherein the first adhesion promoter is an organic material that comprises a C—H group and a siloxane (Si—O), such as methyltriacetoxysilane (MTAS). Next, an inorganic layer is formed on the first interlayer. Then the adhesion promoter mentioned previously is deposited on the inorganic layer by chemical vapor deposition to form a second interlayer. Next, a second dielectric layer is formed on the second interlayer 340, wherein the second interlayer is a low-K material of an organic polymer. Finally, a baking process is performed.
    Type: Grant
    Filed: November 17, 2000
    Date of Patent: January 13, 2004
    Assignee: United Microelectronics Corp.
    Inventors: Cheng-Yuan Tsai, Chin-Hsiang Lin, Ming-Sheng Yang
  • Patent number: 6673718
    Abstract: An aluminum wiring is selectively formed within a contact hole or groove of a substrate. An intermediate layer which includes nitrogen is formed over the main surface of a substrate and over the interior surface of the contact hole or groove. A first surface portion of the intermediate layer which is located over the main surface of the substrate is treated with a plasma to form a passivity layer at the first surface portion of the intermediate layer. Then, without an intervening vacuum break, an aluminum film is CAD deposited only over a second surface portion of the intermediate layer which is located over the interior surface of the contact hole or recess. The plasma treatment of the first surface portion of the intermediate layer prevents the CAD deposition of the aluminum film over the first surface portion of the intermediate layer.
    Type: Grant
    Filed: November 27, 2002
    Date of Patent: January 6, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jong-Myeong Lee, In-Sun Park, Hyeon-Deok Lee, Jong-Sik Chun
  • Publication number: 20040002211
    Abstract: Methods and compositions are disclosed for modifying a semiconductor interconnect layer to reduce migration problems while minimizing resistance increases induced by the modifications. One method features creating trenches in the interconnect layer and filling these trenches with compositions that are less susceptible to migration problems. The trenches may be filled using traditional vapor deposition methods, or electroplating, or alternately by using electroless plating methods. Ion implantation may also be used as another method in modifying the interconnect layer. The methods and compositions for modifying interconnect layers may also be limited to the via/interconnect interface for improved performance. A thin seed layer may also be placed on the semiconductor substrate prior to applying the interconnect layer. This seed layer may also incorporate similar dopant and alloying materials in the otherwise pure metal.
    Type: Application
    Filed: November 6, 2002
    Publication date: January 1, 2004
    Applicant: Texas Instruments Incorporated
    Inventor: Bradley Scott Young
  • Patent number: 6667231
    Abstract: An integrated circuit structure and method of making the same is disclosed, in which the adhesion of copper conductors (12, 22) to a low-dielectric constant insulating layer (10, 16) is improved. During the fabrication of the structure, exposed surfaces of the low-k insulating layers (10, 16), including the surfaces of these layers within contact, via, or trench openings, are exposed to nitrogen gas, preferably in a sputtering chamber. An optional plasma treatment of the insulating layers (10, 16) in the presence of nitrogen gas may also be performed. As a result, the surface portions of the insulating layers (10, 16) is made to be nitrogen-rich. A liner layer (8, 21) is then formed by reactive sputtering of tantalum nitride over the nitrogen-rich surfaces of the insulating layers (10, 16), followed by the sputtering of tantalum. Copper electrodes (12, 22) are then deposited into the openings in the corresponding insulating layers (10, 16) with improved adhesion resulting.
    Type: Grant
    Filed: July 12, 2002
    Date of Patent: December 23, 2003
    Assignee: Texas Instruments Incorporated
    Inventor: Lixin Wu
  • Patent number: 6660634
    Abstract: The adhesion of a diffusion barrier or capping layer to a Cu or Cu alloy interconnect member is significantly enhanced by treating the exposed surface of the Cu or Cu alloy interconnect member: (a) under plasma conditions with ammonia and silane or dichlorosilane to form a copper silicide layer thereon; or (b) with an ammonia plasma followed by reaction with silane or dichlorosilane to form a copper silicide layer thereon. The diffusion barrier layer is then deposited on the copper silicide layer. Embodiments include electroplating or electroless plating Cu or a Cu alloy to fill a damascene opening in a dielectric interlayer, chemical mechanical polishing, then treating the exposed surface of the Cu/Cu alloy interconnect to form the copper silicide layer thereon, and depositing a silicon nitride diffusion barrier layer on the copper silicide layer.
    Type: Grant
    Filed: November 12, 2002
    Date of Patent: December 9, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Shekhar Pramanick, Takeshi Nogami
  • Patent number: 6660629
    Abstract: A method of fabricating a copper damascene. The method is applicable to a substrate, which substrate has a dielectric layer formed thereon. The method comprising forming a damascene opening in the dielectric layer, forming a barrier layer which conforms to a profile of the damascene opening over the substrate, and forming a conformal copper seeding layer on the barrier layer. A copper layer is then formed on the copper seeding layer, wherein the copper seeding layer has a thickness that is sufficient to fill the damascene opening, followed by forming a conformal protective layer on the copper layer. A first CMP step is performed to remove the protective layer, while a portion of the copper layer outside the damascene opening is removed until the protective layer is completely removed, wherein a first polishing rate is faster than a second polishing rate.
    Type: Grant
    Filed: February 14, 2002
    Date of Patent: December 9, 2003
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Bih-Tiao Lin
  • Patent number: 6656834
    Abstract: A metal interconnect structure and method for making the same provides an alloying elements layer that lines a via in a dielectric layer. The alloying element layer is therefore inserted at a critical electromigration failure site, i.e., at the fast diffusion site below the via in the underlying metal. Once the copper fill is performed in the via, an annealing step allows the alloying element to go into solid solution with the copper in and around the via. The solid solution of the alloying element and copper at the bottom of the via in the copper line improves the electromigration reliability of the structure.
    Type: Grant
    Filed: June 20, 2001
    Date of Patent: December 2, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Paul R. Besser, Larry Zhao
  • Publication number: 20030211723
    Abstract: In a method for the production of semiconductor devices of the type in which a layer of Ti/TiN overlies a layer of fluoro-silicate glass, a layer of material of low dielectric constant is deposited between the layer of Ti/TiN and the layer of fluoro-silicate glass.
    Type: Application
    Filed: July 31, 2002
    Publication date: November 13, 2003
    Applicant: 1st Silicon (Malaysia) Sdn. Bhd.
    Inventors: Rick Teo Kok Hin, Ling Syau Yun
  • Patent number: 6645848
    Abstract: This invention relates to a method of improving the fabrication of etched semiconductor devices by using a patterned adhesion promoter layer over a hydrocarbon planarization material. More specifically, the present invention improves the bonding of a metal interconnect layer to a hydrocarbon planarization material, such as polyimide, by inserting an adhesion promotion layer, such as silicon nitride, between the hydrocarbon planarization material and the metal interconnect layer. A process for improving the fabrication of etched semiconductor devices, comprises the steps of: (1) depositing a hydrocarbon planarization material over a substrate; (2) depositing an adhesion promoter over the hydrocarbon planarization material; (3) defining a first mask and etching back the adhesion promoter so as to form an adhesion promoter pad over a portion of the hydrocarbon planarization material; and (4) depositing a first metal over the adhesion promoter pad.
    Type: Grant
    Filed: June 1, 2001
    Date of Patent: November 11, 2003
    Assignee: Emcore Corporation
    Inventors: John R. Joseph, Wenlin Luo, Kevin L. Lear, Robert P. Bryan
  • Patent number: 6645849
    Abstract: A semiconductor device and a method for manufacturing the semiconductor device are provided in which a lower plug electrically connected with an active region of a wafer has a recession, and a conductive layer has a projection fitted into the recession of the lower plug, so that a contact area between the lower plug and the conductive layer increases without increasing a contact resistance therebetween. Thus, the conductive layer can endure physical impacts applied in the formation of the conductive layer itself and in subsequent integration processes, without detaching from the lower plug or the wafer.
    Type: Grant
    Filed: January 22, 2002
    Date of Patent: November 11, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-Bong Kim, Joo-Young Kim, Min-hwan Lim
  • Patent number: 6645860
    Abstract: A method is provided for promoting adhesion of CVD copper to diffusion barrier material in integrated circuit manufacturing. The method uses a two-step CVD copper metallization process. Following deposition of a diffusion barrier layer on the IC substrate, a first layer of CVD copper is deposited on the barrier material. The first layer is preferably thin (less than 300 Å) and deposited using a precursor which yields an adherent conforming layer of copper. The suggested precursor for use in depositing the first layer of CVD copper is (hfac)Cu(1,5-Dimethylcyclooctadiene). The first layer of CVD copper serves as a “seed” layer to which a subsequently-deposited “fill” or “bulk” layer of CVD copper will readily adhere. The second copper deposition step of the two-step process is the deposit of a second layer of copper by means of CVD using another precursor, different from (hfac)Cu(1,5-Dimethylcyclooctadiene).
    Type: Grant
    Filed: November 1, 2001
    Date of Patent: November 11, 2003
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Lawrence J. Charneski, Tue Nguyen, Gautam Bhandari
  • Patent number: 6642146
    Abstract: The present invention pertains to methods for depositing a metal seed layer on a wafer substrate having a plurality of recessed features. Methods of the invention include at least two operations. A first portion of a seed layer is deposited such that metal ions impinge on the wafer substrate substantially perpendicular to the wafer substrate work surface. The first portion is characterized by heavy bottom coverage in the recessed features and minimal overhang on the apertures of the recessed features. A second portion of the metal seed layer is deposited with simultaneous re-sputter of at least part of the first portion that covers the bottom of the features. During re-sputter, part of the seed material on the bottom is redistributed to the sidewalls of the features. Seed layers of the invention have minimal overhang and excellent step coverage.
    Type: Grant
    Filed: April 10, 2002
    Date of Patent: November 4, 2003
    Assignee: Novellus Systems, Inc.
    Inventors: Robert Rozbicki, Michal Danek, Erich Klawuhn
  • Patent number: 6638878
    Abstract: A method for forming a planarized dielectric layer upon a semiconductor wafer is disclosed. In an exemplary embodiment of the invention, the method includes applying an adhesion promoter to the wafer, thereby forming an adhesion promoter layer. A dielectric material is applied in a spin-on fashion upon the adhesion promoter layer at a relative humidity of less than 40% and for a thickness setting duration of less than 30 seconds. Then, the dielectric material is dried by baking without additional spinning of the semiconductor wafer.
    Type: Grant
    Filed: October 2, 2001
    Date of Patent: October 28, 2003
    Assignee: International Business Machines Corporation
    Inventors: Darryl D. Restaino, Jeffrey C. Hedrick, John A. Fitzsimmons, Christy S. Tyberg, Chih-Chien Liu, Shahab Siddiqui
  • Patent number: 6635965
    Abstract: A tungsten nucleation film is formed on a surface of a semiconductor substrate by alternatively providing to that surface, reducing gases and tungsten-containing gases. Each cycle of the method provides for one or more monolayers of the tungsten film. The film is conformal and has improved step coverage, even for a high aspect ratio contact hole.
    Type: Grant
    Filed: October 9, 2001
    Date of Patent: October 21, 2003
    Assignee: Novellus Systems, Inc.
    Inventors: Sang-Hyeob Lee, Joshua Collins
  • Patent number: 6630396
    Abstract: A plasma enhanced chemical vapor deposition (PECVD) process is provided for depositing one or more dielectric material layers on a substrate for use in interconnect structures of integrated circuits. The method comprises the steps of depositing a fluorinated amorphous carbon (a-F:C) layer on a substrate by providing a fluorine containing gas, preferably octafluorocyclobutane, and a carbon containing gas, preferably methane, in ratio of approximately 5.6, so as to deposit a a-F:C layer having an internal compressive stress of approximately 28 MPa. After deposition the film is annealed at approximately 400° C. for approximately two hours. An adhesion promoter layer of relatively hydrogen-free hydrogeneated silicon carbide is then deposited on the a-F:C layer using silane (SiH4) and methane (CH4) as the deposition gases.
    Type: Grant
    Filed: August 27, 2002
    Date of Patent: October 7, 2003
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Hongning Yang, Tue Nguyen
  • Patent number: 6627526
    Abstract: A process for making semiconductor structures, and the resulting highly conductive semiconductor structures, includes using damascene process to form a structure with a thin adhesive layer and overlaying conductive layer. The highly conductive semiconductor structure has a thickness less than about 3000 Å, preferably less than about 2600 Å, and incorporates an adhesive layer that is preferably less than about 100 Å thick. Despite the reduced profile and topography of the structure, it is more conductive than prior structures, and provides a robust device.
    Type: Grant
    Filed: March 13, 2001
    Date of Patent: September 30, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Wenge Yang, Bhanwar Singh
  • Patent number: 6624064
    Abstract: The present invention provides a method of depositing an amorphous fluorocarbon film using a high bias power applied to the substrate on which the material is deposited. The invention contemplates flowing a carbon precursor at rate and at a power level so that equal same molar ratios of a carbon source is available to bind the fragmented fluorine in the film thereby improving film quality while also enabling improved gap fill performance. The invention further provides for improved adhesion of the amorphous fluorocarbon film to metal surfaces by first depositing a metal or TiN adhesion layer on the metal surfaces and then stuffing the surface of the deposited adhesion layer with nitrogen. Adhesion is further improved by coating the chamber walls with silicon nitride or silicon oxynitride.
    Type: Grant
    Filed: October 10, 1997
    Date of Patent: September 23, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Turgut Sahin, Yaxin Wang, Ming Xi
  • Publication number: 20030176062
    Abstract: The invention includes a method for treating a plurality of discrete semiconductor substrates. The discrete semiconductor substrates are placed within a reactor chamber. While the substrates are within the chamber, they are simultaneously exposed to one or more of H, F and Cl to remove native oxide. After removing the native oxide, the substrates are simultaneously exposed to a first reactive material to form a first mass across at least some exposed surfaces of the substrates. The first reactive material is removed from the reaction chamber, and subsequently the substrates are exposed to a second reactive material to convert the first mass to a second mass. The invention also includes apparatuses which can be utilized for simultaneous ALD treatment of a plurality of discrete semiconductor substrates.
    Type: Application
    Filed: January 22, 2003
    Publication date: September 18, 2003
    Inventors: Trung Tri Doan, Lyle D. Breiner, Er-Xuan Ping, Lingyi A. Zheng
  • Publication number: 20030176061
    Abstract: The invention includes a method for treating a plurality of discrete semiconductor substrates. The discrete semiconductor substrates are placed within a reactor chamber. While the substrates are within the chamber, they are simultaneously exposed to one or more of H, F and Cl to remove native oxide. After removing the native oxide, the substrates are simultaneously exposed to a first reactive material to form a first mass across at least some exposed surfaces of the substrates. The first reactive material is removed from the reaction chamber, and subsequently the substrates are exposed to a second reactive material to convert the first mass to a second mass. The invention also includes apparatuses which can be utilized for simultaneous ALD treatment of a plurality of discrete semiconductor substrates.
    Type: Application
    Filed: January 22, 2003
    Publication date: September 18, 2003
    Inventors: Trung Tri Doan, Lyle D. Breiner, Er-Xuan Ping, Lingyi A. Zheng
  • Publication number: 20030176057
    Abstract: The invention includes a method for treating a plurality of discrete semiconductor substrates. The discrete semiconductor substrates are placed within a reactor chamber. While the substrates are within the chamber, they are simultaneously exposed to one or more of H, F and Cl to remove native oxide. After removing the native oxide, the substrates are simultaneously exposed to a first reactive material to form a first mass across at least some exposed surfaces of the substrates. The first reactive material is removed from the reaction chamber, and subsequently the substrates are exposed to a second reactive material to convert the first mass to a second mass. The invention also includes apparatuses which can be utilized for simultaneous ALD treatment of a plurality of discrete semiconductor substrates.
    Type: Application
    Filed: January 22, 2003
    Publication date: September 18, 2003
    Inventors: Trung Tri Doan, Lyle D. Breiner, Er-Xuan Ping, Lingyi A. Zheng
  • Patent number: 6620724
    Abstract: Semiconductor devices having deep trenches with fill material therein having low resistivity are provided along with methods of fabricating such semiconductor devices.
    Type: Grant
    Filed: May 9, 2002
    Date of Patent: September 16, 2003
    Assignees: Infineon Technologies AG, International Business Machines Corporation
    Inventors: Uwe Schroeder, Helmut Horst Tews, Irene McStay, Manfred Hauf, Matthias Goldbach, Bernhard Sell, Harald Seidl, Dirk Schumann, Rajarao Jammy, Joseph F. Shepard, Jr., Jean-Marc Rousseau
  • Patent number: 6620723
    Abstract: A method of forming a boride layer for integrated circuit fabrication is disclosed. In one embodiment, the boride layer is formed by chemisorbing monolayers of a boron containing compound and one refractory metal compound onto a substrate. In an alternate embodiment, the boride layer has a composite structure. The composite boride layer structure comprises two or more refractory metals. The composite boride layer is formed by sequentially chemisorbing monolayers of a boron compound and two or more refractory metal compounds on a substrate.
    Type: Grant
    Filed: June 27, 2000
    Date of Patent: September 16, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Jeong Soo Byun, Alfred Mak
  • Publication number: 20030162384
    Abstract: A method of manufacturing a semiconductor device includes the steps of providing a semiconductor substrate (102), forming a dielectric layer (104) over the semiconductor substrate (102), and etching a trench structure (106) or a via structure (106) in the dielectric layer (104) to expose a portion of a surface of the semiconductor substrate (102). The method also includes the steps of treating a surface (104a) of the dielectric layer (104) with an adhesion solution, such as a reactive plasma including hydrogen, and forming a diffusion barrier layer (110) over the dielectric layer (104). Moreover, the adhesion solution chemically interacts with the surface (104a) of the dielectric layer (104) and enhances or increases adhesion between dielectric layer (104) and diffusion barrier layer (110).
    Type: Application
    Filed: January 14, 2003
    Publication date: August 28, 2003
    Inventors: Patricia Beauregard Smith, Jiong-Ping Lu
  • Patent number: 6607978
    Abstract: Between a copper film 5a and a tantalum-based barrier metal film 2b, there is set an alloy layer 10 made through the reaction of the material of the barrier metal film and copper.
    Type: Grant
    Filed: August 7, 2002
    Date of Patent: August 19, 2003
    Assignee: NEC Electronics Corporation
    Inventor: Yoshihisa Matsubara
  • Patent number: 6599826
    Abstract: A fabrication method for a low dielectric constant (k) material layer is described. A high molecular weight material layer is formed on a substrate. The high molecular weight material layer is then cured. A bonding material layer is formed on the high molecular weight material layer, wherein a major component in the bonding material layer is an organic compound, wherein the organic compound has a silicon-containing moiety and an unsaturated hydrocarbon moiety. The bonding material layer is further cured, allowing the organic silicon compound to cross-link within the high molecular weight material layer to form a high molecular weight material layer with a silicon rich surface. Moreover, the curing for the high molecular weight material layer and for the bonding material layer can conduct concurrently.
    Type: Grant
    Filed: June 19, 2001
    Date of Patent: July 29, 2003
    Assignee: United Microelectronics Corp.
    Inventors: Tsung-Tang Hsieh, Cheng-Yuan Tsai
  • Patent number: 6596564
    Abstract: AS conductive patterns 11A to 11D are formed burying in a insulating resin 10 and a conductive foil 20 is formed being half-etched, thickness of the device is made thin. As an electrode for radiation 11D is provided, a semiconductor device superior in radiation is provided.
    Type: Grant
    Filed: September 6, 2002
    Date of Patent: July 22, 2003
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Noriaki Sakamoto, Yoshiyuki Kobayashi, Junji Sakamoto, Yukio Okada, Yusuke Igarashi, Eiju Maehara, Kouji Takahashi
  • Patent number: 6586328
    Abstract: The metallization method of the invention uses an oxide-forming metal layer to improve adhesion and getter surface contamination or oxides. A high work function metal is then formed on the oxide-forming layer. An anneal is conducted to diffuse the high work function on metal through the oxide-forming layer. One or more metal cap layers may top the high work function metal to protect the high work function metal.
    Type: Grant
    Filed: June 5, 2000
    Date of Patent: July 1, 2003
    Assignee: The Board of Trustees of the University of Illinois
    Inventors: Ilesanmi Adesida, Ling Zhou
  • Publication number: 20030111733
    Abstract: A process is described for semiconductor device integration at chip level or wafer level, in which vertical connections are formed through a substrate. A metallized feature is formed in the top surface of a substrate, and a handling plate is attached to the substrate. The substrate is then thinned at the bottom surface thereof to expose the bottom of the feature, to form a conducting through-via. The substrate may comprise a chip having a device (e.g. DRAM) fabricated therein. The process therefore permits vertical integration with a second chip (e.g. a PE chip). The plate may be a wafer attached to the substrate using a vertical stud/via interconnection. The substrate and plate may each have devices fabricated therein, so that the process provides vertical wafer-level integration of the devices.
    Type: Application
    Filed: December 19, 2001
    Publication date: June 19, 2003
    Applicant: International Business Machines Corporation
    Inventors: H. Bernhard Pogge, Roy Yu, Chandrika Prasad, Chandrasekhar Narayan
  • Patent number: 6579789
    Abstract: In the method for fabricating a metal wiring, an insulation film is formed on a semiconductor substrate. The insulation film has a contact hole exposing the semiconductor substrate. A Ti—Si film is formed over the silicon substrate, and a Ti—Si—N film is formed on the Ti—Si film. The contact hole is then filled by depositing copper on the Ti—Si—N film, and a silicon nitride film is formed over the silicon substrate.
    Type: Grant
    Filed: June 14, 2001
    Date of Patent: June 17, 2003
    Assignee: Hynix Semiconductor Inc.
    Inventor: Cheol Mo Jeong
  • Patent number: 6562710
    Abstract: After depositing a metal film on an insulating film on a semiconductor substrate, a first interlayer insulating film is formed on the metal film. After forming first plug openings in the first interlayer insulating film by etching the first interlayer insulating film with a first mask pattern used as a mask, first connection plugs are formed by filling a first conducting film in the first plug openings. A second interlayer insulating film is formed on the first interlayer insulating film. After forming second plug openings respectively on the first connection plugs in the second interlayer insulating film by etching the second interlayer insulating film with a second mask pattern used as a mask, second connection plugs are formed by filling a second conducting film in the second plug openings.
    Type: Grant
    Filed: October 9, 2001
    Date of Patent: May 13, 2003
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Hideo Nakagawa, Reiko Hinogami, Eiji Tamaoka
  • Patent number: 6555465
    Abstract: A first wiring layer is formed on an insulating film. The first wiring layer is formed by sequentially laminating a barrier layer, an Al alloy layer, and an antireflection layer. The antireflection layer is formed by sequentially laminating a Ti layer, a TiN layer, and a TiON layer. After an interlayer insulating film is formed on the first wiring layer, a contact hole is formed through the interlayer insulating film and a tight adhesion layer is formed on an inner surface of the contact hole. The tight adhesion layer is formed by sequentially laminating a Ti layer, a TiN layer, a TiON layer, and a TiN layer. A W plug is embedded in the contact hole through CVD using WF6. Thereafter, an Al alloy layer and an antireflection layer are sequentially deposited and patterned to form a second wiring layer.
    Type: Grant
    Filed: June 19, 2002
    Date of Patent: April 29, 2003
    Assignee: Yamaha Corp.
    Inventor: Takahisa Yamaha
  • Patent number: 6551920
    Abstract: A semiconductor device includes first and second conductive layers which are electrically connected to each other through a contact plug. A first insulating film is formed on the first conductive layer and has a first opening which reaches the surface of the first conductive layer. A second insulating film is formed on the first insulating film and has a second opening at the same position as the first opening. The contact plug is filled in the first and second openings and has the surface which is substantially flush with the surface of the second insulating film and also contains a metal having a high melting point. The second conductive layer is formed on the second insulating film and on the contact plug.
    Type: Grant
    Filed: February 26, 2002
    Date of Patent: April 22, 2003
    Assignee: United Microelectronics Corporation
    Inventors: Tomoyuki Uchiyama, Kazuhisa Sasaki, Taro Muraki
  • Publication number: 20030064579
    Abstract: An object of the present invention is to provide a surface protecting adhesive film for a semiconductor wafer having excellent adhesive properties, breakage resistance and stain resistance. According to the invention, provided is a surface protecting adhesive film for a semiconductor wafer in which at least one layer of an intermediate layer and an adhesive layer are provided on one surface of a base film, a minimum value (G′ min) of storage elastic modulus of an adhesive layer (B) at from 50° C. to 100° C. is from 0.07 MPa to 5 MPa, storage elastic modulus of at least one layer (C) of the intermediate layer at 50° C. is from 0.001 MPa to less than 0.
    Type: Application
    Filed: September 20, 2002
    Publication date: April 3, 2003
    Inventors: Masafumi Miyakawa, Makoto Kataoka, Yasuhisa Fujii, Yoshihisa Saimoto, Shinichi Hayakawa
  • Patent number: 6541286
    Abstract: A method is provided for X-ray imaging and analyzing grain boundaries, nodules or extrusions, voids, and separations or delaminations in conductive layers under dielectric capping layers in integrated circuit interconnects.
    Type: Grant
    Filed: June 27, 2001
    Date of Patent: April 1, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Joffre F. Bernard, Minh Quoc Tran
  • Patent number: 6531412
    Abstract: A method is described for forming a low-k dielectric film, in particular, a pre-metal dielectric (PMD) on a semiconductor wafer which has good gap-filling characteristics. The method uses a thermal sub-atmospheric CVD process that includes a carbon-containing organometallic precusor such as TMCTS or OMCTS, an ozone-containing gas, and a source of dopants for gettering alkali elements and for lowering the reflow temperature of the dielectric while attaining the desired low-k and gap-filling properties of the dielectric film. Phosphorous is a preferred dopant for gettering alkali elements such as sodium. Additional dopants for lowering the reflow temperature include, but are not limited to boron, germanium, arsenic, fluorine or combinations thereof.
    Type: Grant
    Filed: August 10, 2001
    Date of Patent: March 11, 2003
    Assignees: International Business Machines Corporation, Infineon Technologies AG
    Inventors: Richard A. Conti, Daniel C. Edelstein, Gill Yong Lee
  • Patent number: RE38383
    Abstract: A method of forming a via plug in a semiconductor device is disclosed. Metal nuclei are formed on the surface of the metal layer underlying the via hole. The metal layer, which is partially exposed between metal nuclei, is etched by means of a wet etching method, and accordingly, a plurality of etching grooves is formed on the partially exposed surface of the metal layer. As a result, the formation of such grooves has the effect of increasing the bottom surface area of the via hall, thereby increasing the adhesive strength to a contact surface of the via hall and decreasing the via resistance.
    Type: Grant
    Filed: April 16, 1999
    Date of Patent: January 13, 2004
    Assignee: Hyundai Electronics Industries Co. Ltd.
    Inventor: Kyeon K. Choi