Having Viahole With Sidewall Component Patents (Class 438/639)
  • Publication number: 20040043603
    Abstract: A semiconductor component includes back side pin contacts fabricated using a circuit side fabrication method. The component also includes a thinned semiconductor die having a pattern of die contacts, and conductive members formed by filled openings in the die contacts and the die. In addition, the pin contacts are formed by terminal portions of the conductive members. The fabrication method includes the steps of forming the openings and the conductive members, and then thinning and etching the die to form the pin contacts. An alternate embodiment female component includes female conductive members configured to physically and electrically engage pin contacts on a mating component of a stacked assembly.
    Type: Application
    Filed: June 10, 2003
    Publication date: March 4, 2004
    Inventors: Alan G. Wood, Trung Tri Doan
  • Patent number: 6699785
    Abstract: A manufacturing method is provided having a semiconductor substrate with a semiconductor device. A device dielectric layer is formed on the semiconductor substrate. A channel dielectric layer on the device dielectric layer has an opening formed therein. A barrier layer lines the channel opening. A conductor core fills the opening over the barrier layer. By using a polishing solution having a high selectivity from the conductor core to the barrier layer in conjunction with a grooved polyurethane polish pad, a very thin barrier layer may be used without the conductor core and dielectric layer being subject to erosion and the conductor core being subject to dishing.
    Type: Grant
    Filed: February 26, 2003
    Date of Patent: March 2, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Kai Yang, Kashmir S. Sahota, Steven C. Avanzino
  • Publication number: 20040038521
    Abstract: A method for forming a metal interconnection layer of a semiconductor device comprises forming a film including a material selective to a medium used in an ashing process on an interlayer insulating film. The method comprises transforming the film during the ashing process to form an interconnection pattern having a dual damascene structure. A dielectric material such as copper is deposited on the interconnection pattern, which is planarized through CMP, thereby forming a via contact having a single damascene structure without a recess therein.
    Type: Application
    Filed: May 30, 2003
    Publication date: February 26, 2004
    Applicant: Samsung Electronics., Ltd.
    Inventors: Jae-hak Kim, Soo-geun Lee, Kyung-woo Lee
  • Publication number: 20040038517
    Abstract: A contact structure is formed by forming an interlayer dielectric on a substrate having a semiconductive region. A contact hole is formed in the interlayer dielectric to expose the semiconductive region. A conductive structure is formed adjacent to the contact hole. Spacers are formed on inner sidewalls of the contact hole. A cobalt silicide layer is formed at a bottom of the contact hole. The spacers are configured to electrically isolate the cobalt silicide layer from the conductive structure. A conductive layer is formed on the cobalt silicide layer in the contact hole.
    Type: Application
    Filed: June 30, 2003
    Publication date: February 26, 2004
    Inventors: Sang-Bum Kang, Kwang-Jin Moon, Seung-Gil Yang, Hee-Sook Park
  • Publication number: 20040038520
    Abstract: A method of manufacturing a semiconductor device according to a first aspect of the present invention includes: forming a first photosensitive resin cured layer including a first opening above a semiconductor substrate, on which a underlying wiring layer is formed, the first opening being made above the underlying wiring layer; forming a second photosensitive resin cured layer including a second opening on the first photosensitive resin cured layer, a bottom of the second opening including an opening top of the first opening; and forming a wiring layer so as to fill in the first and second openings.
    Type: Application
    Filed: March 24, 2003
    Publication date: February 26, 2004
    Inventors: Masaharu Seto, Mie Matsuo
  • Publication number: 20040038518
    Abstract: A metal interconnection for an integrated circuit device is fabricated by forming a trench in an integrated circuit substrate and a via hole beneath a portion of the trench. The trench includes a trench sidewall and the via hole includes a sacrificial film therein. A buffer layer is formed on the trench sidewall. At least some of the sacrificial film is removed from the via hole by etching the sacrificial film through the trench that includes the buffer layer on the trench sidewall. The metal interconnection is formed in the via hole from which at least some of the sacrificial film has been removed, and in the trench. The buffer layer may use material having etch selectivity to an etchant which is used when removing the sacrificial film, to thereby protect the trench sidewall when removing the sacrificial film.
    Type: Application
    Filed: August 21, 2003
    Publication date: February 26, 2004
    Inventor: Jin-Sung Chung
  • Patent number: 6696368
    Abstract: Conductive contacts in a semiconductor structure, and methods for forming the conductive components are provided. The contacts are useful for providing electrical connection to active components beneath an insulation layer in integrated circuits such as memory devices. The conductive contacts comprise boron-doped TiCl4-based titanium nitride, and possess a sufficient level adhesion to the insulative layer to eliminate peeling from the sidewalls of the contact opening and cracking of the insulative layer when formed to a thickness of greater than about 200 angstroms.
    Type: Grant
    Filed: July 31, 2001
    Date of Patent: February 24, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Ammar Derraa, Sujit Sharan, Paul Castrovillo
  • Patent number: 6696339
    Abstract: The present invention is directed toward methods of fabricating components for microelectronic devices, microelectronic devices including memory cells or other components, and computers including memory devices. For example, one embodiment is directed toward a method of fabricating a memory cell on a workpiece having a substrate, a plurality of active areas in the substrate, and a dielectric layer over the active areas. One embodiment of the method includes constructing bit line contact openings in the dielectric layer over first portions of the active areas and cell plug openings over second portions of the active areas. The method also includes depositing a first conductive material into the bit line contact openings to form bit line contacts and into the cell plug openings to form cell plugs. This embodiment continues by forming a trench through an upper portion of a plurality of the bit line contacts and portions of the dielectric layer between bit line contacts.
    Type: Grant
    Filed: August 21, 2002
    Date of Patent: February 24, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Sang Dang Tang
  • Patent number: 6689684
    Abstract: Interconnects to an underlying Cu feature are formed with improved reliability by replacing a portion of the capping layer in the bottom of an opening in an overlying dielectric layer, e.g., an ILD, with a barrier material, such as Ta or TaN. During Ar sputter etching to round the ILD corners, the exposed barrier layer portion is removed and redeposited to form a liner on the side surfaces of the dielectric layer defining the opening, thereby avoiding Cu redeposition on, and/or penetration through, the side surfaces of the dielectric layer.
    Type: Grant
    Filed: February 15, 2001
    Date of Patent: February 10, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Lu You, Fei Wang, Richard J. Huang
  • Publication number: 20040023486
    Abstract: A method of fabricating an integrated circuit can include forming a barrier layer along lateral side walls and a bottom of a via aperture, forming a seed layer proximate and conformal to the barrier layer, and forming an implanted layer proximate and conformal to the barrier layer and the seed layer. The via aperture is configured to receive a via material that electrically connects a first conductive layer and a second conductive layer.
    Type: Application
    Filed: November 26, 2001
    Publication date: February 5, 2004
    Applicant: Advanced Micro Devices, Inc.
    Inventors: Sergey D. Lopatin, Paul R. Besser, Matthew S. Buynoski
  • Patent number: 6682999
    Abstract: The present invention provides, in one aspect, a method for fabricating an interconnect system within a semiconductor device. In this particular embodiment, the method comprises forming a conductive layer over a substrate of the semiconductor device, such as a dielectric material, forming a photoresist layer over the conductive layer and patterning the photoresist, forming a selected portion and an unselected portion of the conductive layer, altering the selected portion such that the selected portion has an etch rate different from an etch rate of the unselected portion, and forming an interconnect on the selected or unselected portion. As used herein, the selected portion is defined as that portion of the conductive layer, such as a blanket seed layer, that is subject to the alteration process as discussed herein. The selected portion may be, depending on the embodiment, within a footprint of the interconnect or outside the footprint of the interconnect.
    Type: Grant
    Filed: October 22, 1999
    Date of Patent: January 27, 2004
    Assignee: Agere Systems Inc.
    Inventor: John A. Mucha
  • Patent number: 6683002
    Abstract: Method and product for forming a dual damascene interconnect structure, wherein depositing a copper sulfide interface layer as sidewalls to the opening deters migration or diffusing of copper ions into the dielectric material.
    Type: Grant
    Filed: August 10, 2000
    Date of Patent: January 27, 2004
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Simon Chooi, Yakub Aliyu, Mei Sheng Zhou, John Leonard Sudijono, Subhash Gupta, Sudipto Ranendra Roy, Paul Kwok Keung Ho, Yi Xu
  • Publication number: 20040014310
    Abstract: The invention relates to a method for producing an integrated circuit comprising the following steps: preparing a semi-conductor substract (1) with a contracting circuit area (SS); providing an insulating layer (IS) on the surface of the semi-conductor substrate (1): providing a contact hole (KL) in the insulating layer (IS) for making contacting the circuit area (SS); providing an insulating spacer area (10′) in at least the area above the contact hole (KL); providing at least three trenches (BG1; BG2; BG3), the first (BG1) of which is arranged next to the contact hole (KL), a second (BG2) is disposed across the contact hole (KL) and a third (BG3) is next to the contact hole (KL). The spacer area (10′) is placed between the first and second trench (BG1; BG2) and the second and the third trench (BG2; BG3); filling the trenches (BG1; BG2; BG3) with a conductive material: and chemical-mechanical polishing of conductive material for producing three seperated trenches (BL1; BL2; BL3).
    Type: Application
    Filed: April 23, 2003
    Publication date: January 22, 2004
    Inventors: Andreas Hilliger, Ralf Staub, Eike Luken
  • Patent number: 6680247
    Abstract: The manufacturing method of a semiconductor device includes a step of forming a lower wiring on a semiconductor substrate, a step of forming a layer insulating film on the lower wiring, a step of forming an opening that exposes the lower wiring by removing a part of the layer insulating film, a step of forming a barrier film in the opening and a step of forming an upper wiring in the opening, where the lower wiring and the upper wiring are copper including wirings composed of copper or a copper alloy, the barrier film covers the bottom face and the side face of the opening, and the barrier film on the bottom face of the opening is formed so as to have its thickness to be less than twice the diffusion length of the copper atoms in the barrier film.
    Type: Grant
    Filed: December 7, 2001
    Date of Patent: January 20, 2004
    Assignee: NEC Electronics Corporation
    Inventor: Kazuyoshi Ueno
  • Patent number: 6667551
    Abstract: A method of manufacturing a semiconductor device comprises a step of forming a through-hole in a semiconductor chip having an electrode and forming a conductive layer on a region comprising an inner side of the through-hole. An intermediate portion of the through-hole is formed to be larger than an edge portion thereof, and the conductive layer is formed by electroless plating.
    Type: Grant
    Filed: January 22, 2001
    Date of Patent: December 23, 2003
    Assignee: Seiko Epson Corporation
    Inventors: Terunao Hanaoka, Kenji Wada, Nobuaki Hashimoto, Haruki Ito, Kazushige Umetsu, Fumiaki Matsushima
  • Patent number: 6667236
    Abstract: The invention relates to a semiconductor device comprising a substrate (1) comprising for instance silicon with thereon a layer (2, 4) comprising at least organic material which contains a passage (6, 8) to the substrate (1). The passage (6,8) has walls (7, 9) transverse to the layer (2, 4). A metal layer (11) is applied on the substrate (1) in at least that portion which adjoins the passage (8). The organic material forming the walls (7, 9) of the passage (6, 8) is covered with an oxide liner (12), and the passage (6, 8) is filled with a metal (14). According to the invention, a metal liner (13) comprising Ti or Ta is provided between the oxide liner (12) and the metal (14) filling the passage (6, 8). It is achieved by this that the device has a better barrier between the organic material (2, 4) and the interconnection metal (14) and that the organic material (2, 4) has a better protection during the various steps of the process.
    Type: Grant
    Filed: June 16, 2003
    Date of Patent: December 23, 2003
    Assignee: Koninklijke Philips Electronics N.V.
    Inventors: Petrus Maria Meijer, Cornelis Adrianus Henricus Antonius Mutsaers
  • Publication number: 20030228753
    Abstract: A method of making a semiconductor device is described. That method comprises forming a copper containing layer on a substrate, and forming an alloying layer that includes an alloying element on the copper containing layer. After applying heat to cause an intermetallic layer that includes copper and the alloying element to form on the surface of the copper containing layer, a barrier layer is formed on the intermetallic layer.
    Type: Application
    Filed: June 6, 2002
    Publication date: December 11, 2003
    Inventors: Stefan Hau-Riege, Christine Hau-Riege, Wen-Yue Zheng
  • Patent number: 6653737
    Abstract: An interconnection structure preferably including one or more conductors that have a central region filled with an insulator, and a method of fabricating such an interconnection structure for preferably making an electrical connection to the conductor(s). The method preferably includes the steps of depositing and patterning a first insulator over a substrate to form an aperture opening to the substrate; depositing and polishing a first conductor to leave the first conductor in the aperture; depositing and patterning a second insulator to form an opening through the second insulator and a recess in the aperture; depositing one or more second conductors to line the opening and the recess, and to form a central region of the interconnection structure; depositing a third insulator to at least partially fill the central region; and making an electrical connection to the second conductor(s).
    Type: Grant
    Filed: May 31, 2002
    Date of Patent: November 25, 2003
    Assignee: International Business Machines Corporation
    Inventors: David V. Horak, William A. Klaasen, Thomas L. McDevitt, Mark P. Murray, Anthony K. Stamper
  • Patent number: 6653228
    Abstract: A method for forming a contact hole in a semiconductor device includes the steps of forming a polymer layer on an upper portion and a side wall of photo resist mask, while etching an oxide layer under the photoresist mask to form a contact hole that uses an etchant gas comprising CH2F2 gas; and etching the oxide layer while stopping the supply of CH2F2 gas to the etching process.
    Type: Grant
    Filed: November 29, 2001
    Date of Patent: November 25, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-Gil Choi, Tae-Hyuk Ahn
  • Patent number: 6649515
    Abstract: A method of forming an interconnection including the steps of depositing a first masking material over a first conductive region of an integrated circuit substrate and depositing a dielectric material over the first masking material. The method also includes forming a via through the dielectric material to expose the first masking material and a second masking material is deposited in a portion of the via. A trench is formed in the dielectric material over a portion of the via and the second masking material is removed from the via. The via is then extended through the first masking material and a conductive material is deposited in the via.
    Type: Grant
    Filed: September 30, 1998
    Date of Patent: November 18, 2003
    Assignee: Intel Corporation
    Inventors: Peter K. Moon, Makarem A. Hussein, Alan Myers, Charles Recchia, Sam Sivakumar, Angelo Kandas
  • Patent number: 6649503
    Abstract: Methods are provided for forming integrated circuit devices. A spin on glass (SOG) insulating layer is formed on an integrated circuit substrate. The SOG insulating layer includes sidewalls that define contact holes therein and spacers are formed on the sidewalls of the SOG insulating layer. Integrated circuit devices are also provided. The integrated circuit devices include an integrated circuit substrate, a spin on glass (SOG) insulating layer on the integrated circuit substrate. The SOG insulating layer includes sidewalls that define contact holes therein and spacers are provided on sidewalls of the SOG insulating layer.
    Type: Grant
    Filed: October 30, 2001
    Date of Patent: November 18, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Won-Jin Kim, Jin-Gi Hong
  • Patent number: 6642145
    Abstract: An integrated circuit and manufacturing method therefor is provided having a semiconductor substrate with a semiconductor device. A dielectric layer formed over the semiconductor substrate has an opening provided therein. The dielectric layer is of non-barrier dielectric material capable of being changed into a barrier dielectric material. The dielectric layer around the opening is changed into the barrier dielectric material and the conductor core material is deposited to fill the opening. The conductor core is processed to form a channel for the integrated circuit.
    Type: Grant
    Filed: August 22, 2002
    Date of Patent: November 4, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Steven C. Avanzino, Pin-Chin Connie Wang, Minh Van Ngo
  • Patent number: 6642144
    Abstract: A method of fabricating a semiconductor device having a capacitor with a high dielectric constant layer includes a groove for an alignment key is formed together with a contact hole on a substrate; a conductive layer of tungsten is formed to fill the contact hole and cover the inner surface of the groove; a capping layer for use as an oxygen barrier is stacked on the conductive layer of tungsten; a planarization process is performed using CMP to leave the capping layer and the conductive layer of tungsten covering the inner surface of the groove to form a contact plug filling the contact hole; a capacitor bottom electrode layer is stacked to contact the top surface of the contact plug; a high dielectric constant layer is stacked on the bottom electrode layer; and an oxidation treatment is performed at a high temperature to crystallize the high dielectric constant layer.
    Type: Grant
    Filed: April 3, 2002
    Date of Patent: November 4, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Myoung-Sik Han, Kyung-Hyun Kim, Yong-Tak Lee
  • Patent number: 6638805
    Abstract: A method of fabricating a DRAM semiconductor device including forming gate stacks in which a gate pattern and a gate sacrificial mask are sequentially deposited on a semiconductor substrate, forming an etch stopper on the semiconductor substrate, forming a lightly doped impurity region between the gate stacks, forming a gate spacer along sidewalls of the gate stacks, forming a heavily doped impurity region to contact the lightly doped impurity region and to be aligned with the gate spacer, removing the gate spacer, forming an interlevel dielectric layer to fill a gap between the gate stacks, forming a groove on a gate conductive layer by etching an exposed top surface of the etch stopper and the gate sacrificial mask, forming a contact mask pattern for filling the groove, forming a contact hole to be self-aligned with respect to the contact mask pattern, and forming a contact pad in the contact hole.
    Type: Grant
    Filed: May 17, 2002
    Date of Patent: October 28, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Byung-jun Park, Yoo-sang Hwang
  • Publication number: 20030186537
    Abstract: After a hole is formed in a low dielectric constant film on a substrate, a protective film is formed on the wall surface of the hole or an electron acceptor is caused to be adsorbed by or implanted in the low dielectric constant film exposed at the wall surface of the hole. Otherwise, resist residue is left on the wall surface of the hole. Then, a resist pattern having an opening corresponding to a wire formation region including a region formed with the hole is formed by using a chemically amplified resist.
    Type: Application
    Filed: March 25, 2003
    Publication date: October 2, 2003
    Applicant: MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD.
    Inventors: Michinari Yamanaka, Hiroshi Yuasa, Tetsuo Satake, Etsuyoshi Kobori, Takeshi Yamashita, Susumu Matsumoto
  • Patent number: 6627493
    Abstract: Within a method for fabricating a dynamic random access memory (DRAM) cell structure there is first anisotropically sequentially etched a blanket hard mask layer and a blanket capacitor plate layer which both cover a bit-line source/drain region within the dynamic random access memory (DRAM) cell structure to thus provide a patterned hard mask layer and a patterned capacitor plate layer which define a via. The patterned capacitor plate layer is then isotropically etched and recessed beneath the patterned hard mask layer, while forming from the via an enlarged via. There is then formed over the patterned hard mask layer, and completely filling the enlarged via, an inter-metal dielectric (IMD) layer.
    Type: Grant
    Filed: March 28, 2001
    Date of Patent: September 30, 2003
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Kuo-Chi Tu, Chih-Hsing Yu
  • Patent number: 6624065
    Abstract: A method of fabricating a semiconductor device using a damascene metal gate including the steps of forming a damascene gate oxide layer and a damascene gate electrode on a semiconductor substrate, forming a trench at an upper part of the damascene gate electrode by selectively etching a portion of the damascene gate electrode to a predetermined thickness, forming an insulating layer in the trench on the damascene gate electrode, forming an insulating interlayer on an upper surface of the entire structure, and forming a contact hole exposing a portion of the semiconductor substrate by selectively etching the insulating interlayer.
    Type: Grant
    Filed: October 12, 2001
    Date of Patent: September 23, 2003
    Assignee: Hynix Semiconductor Inc.
    Inventors: Se Aug Jang, Woo Seock Cheong
  • Publication number: 20030176059
    Abstract: A method for fabricating an ultra-small electrode or plug contact for use in chalcogenide memory cells specifically, and in semiconductor devices generally, in which disposable spacers are utilized to fabricate ultra-small pores into which the electrodes are formed. The electrodes thus defined have minimum lateral dimensions ranging from approximately 500 to 4000 Angstroms. The pores thus defined may then be used to fabricate a chalcogenide memory cell or other semiconductor devices.
    Type: Application
    Filed: March 7, 2003
    Publication date: September 18, 2003
    Inventor: Russell C. Zahorik
  • Patent number: 6620729
    Abstract: A dual-damascene process for forming an integrated circuit structure is described. The process includes forming a trench in a dielectric substrate, and forming a via mask layer over the dielectric substrate and the trench. An aperture is formed in the via mask layer overlying the trench, thereby exposing a portion of the underlying dielectric substrate. The exposed portion of the dielectric substrate is subjected to an ion beam to damage the exposed dielectric material. The damaged portion of the dielectric substrate is then removed, such as by etching, thereby forming a via cavity below the trench in the dielectric substrate. Generally, the damaged portion of the dielectric substrate etches at a faster rate than do adjacent non-damaged regions. With a faster etch, there is practically no outward spreading of the via cavity as the etch proceeds downward through the dielectric substrate, thereby forming a via cavity wall that is very nearly vertical.
    Type: Grant
    Filed: September 14, 2001
    Date of Patent: September 16, 2003
    Assignee: LSI Logic Corporation
    Inventor: Charles E. May
  • Publication number: 20030170979
    Abstract: A semiconductor device capable of preventing a ring defect and a method of manufacturing the same are provided. The semiconductor device includes a semiconductor substrate having a junction region, a planarization layer having a first contact hole portion through which the junction region is exposed, an interlayer dielectric layer formed on the planarization layer and having a second contact hole portion extended from the first contact hole portion, and contact spacers formed at the sidewalls of the first and second contact hole portions. Here, the contact spacers are formed to cover the interface between the planarization layer and the interlayer dielectric layer and the interface between the planarization layer and the semiconductor substrate.
    Type: Application
    Filed: March 29, 2002
    Publication date: September 11, 2003
    Inventor: Si Youn Kim
  • Patent number: 6617232
    Abstract: A method of forming electric wiring using a dual damascene process wherein prevention of damage to a lower conductive pattern and low contact resistance may be achieved. A first insulation layer having a first trench filled with a conductive material is formed on a semiconductor substrate. A first etch stop layer, a second insulation layer and a third insulation layer are sequentially formed thereon. A capping layer is formed on the third insulation layer. A via hole is formed by selectively etching the capping layer, third insulation layer and second insulation layer. Then the capping layer is partially etched and a polymer layer is formed on the exposed first etch stop layer. A second trench is formed and the electric wiring is formed by filling a conductive material in a resulting structure. The polymer layer prevents damage to the conductive pattern by protecting the first etch stop layer.
    Type: Grant
    Filed: July 9, 2002
    Date of Patent: September 9, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Il-Goo Kim, Jae-Seung Hwang
  • Patent number: 6613668
    Abstract: The invention relates to a semiconductor device having a substrate (1) for instance silicon, with a layer (2, 4) of at least organic material which contains a passage (6, 8) to the substrate (1). The passage (6,8) has walls (7, 9) transverse to the layer (2, 4). A metal layer (11) is applied on the substrate (1) in at least that portion which adjoins the passage (8). The organic material forming the walls (7, 9) of the passage (6, 8) is covered with an oxide liner (12), and the passage (6, 8) is filled with a metal (14). According to the invention, a metal liner (13) of Ti or Ta is provided between the oxide liner (12) and the metal (14) filling the passage (6, 8). It is achieved by this that the device has a better barrier between the organic material (2, 4) and the interconnection metal (14) and that the organic material (2, 4) has a better protection during the various steps of the process.
    Type: Grant
    Filed: March 19, 2001
    Date of Patent: September 2, 2003
    Assignee: Koninklijke Philips Electronics N.V.
    Inventors: Petrus Maria Meijer, Cornelis Adrianus Henricus Antonius Mutsaers
  • Patent number: 6602780
    Abstract: A method for forming a protective oxide liner to reduce a surface reflectance including providing a hydrophilic insulating layer over a conductive layer; providing an anti-reflectance coating (ARC) layer over the hydrophilic insulating layer; providing an etching stop layer over the anti-reflectance coating (ARC) layer; photolithographically defining a pattern on a surface of the etching stop layer for etching; anisotropically etching at least one etch opening extending at least partially through a thickness of the hydrophilic insulating layer; depositing an oxide liner such that the sidewalls and bottom portion of the at least one etch opening and said surface are covered by the oxide liner; and, removing the oxide liner from aid surface according to a chemical mechanical (CMP) process to a surface reflectance.
    Type: Grant
    Filed: September 6, 2001
    Date of Patent: August 5, 2003
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Tsu Shih, Yung-Cheng Lu, Lih Ping Li, Tien-I Bao, Chung Chi Ko
  • Patent number: 6602788
    Abstract: A process for fabricating an interconnect for contact holes includes forming contact holes in an insulation layer leading to a first interconnect layer, cleaning the hole surface, forming a barrier layer on the hole surface, forming an AlGeCu-containing second interconnect layer on the insulation surface by a low-temperature PVD process to fill up the contact holes, forming and patterning a mask layer, and patterning the second interconnect layer by an anisotropic etching process using the mask layer. Due to the relatively small grain sizes and precipitations that are formed in the process, the layer can be patterned directly in a subsequent patterning step, resulting in an extremely reliable and inexpensive interconnect that is easy to integrate in existing process sequences.
    Type: Grant
    Filed: June 28, 2001
    Date of Patent: August 5, 2003
    Assignee: Infineon Technologies AG
    Inventors: Axel Bürke, Jens Hahn, Sven Schmidbauer
  • Patent number: 6602749
    Abstract: Within a method for forming a memory cell structure there is provided a field effect transistor (FET) device having electrically connected to one of its source/drain regions a storage capacitor and electrically connected to the other of its source/drain regions a bitline stud layer separated from and rising above the storage capacitor. Within the memory cell structure, and at a minimum storage capacitor to bitline stud layer separation, a capacitor plate layer is further separated from the bitline stud layer than a capacitor node layer.
    Type: Grant
    Filed: September 17, 2001
    Date of Patent: August 5, 2003
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Kuo-Chi Tu, Wen-Jya Liang
  • Publication number: 20030141600
    Abstract: A method of manufacturing a printed circuit board through-hole connection includes forming a through-hole by removing material from the first side of the printed circuit board until the backing and then slightly into the first side of the backing providing a hole. Next, plating through the hole connecting the backing layer, ground layer, and signal layer. Now the plating of the signal layer is removed without removing the connection from the ground layer to the backing. Finally, the hole is filled from the first side of the printed circuit board.
    Type: Application
    Filed: January 30, 2002
    Publication date: July 31, 2003
    Inventor: Leendert J. van der Windt
  • Patent number: 6599825
    Abstract: A method for forming wiring in a semiconductor device comprises the steps of: forming a trench in a desired place on a silicon substrate, forming a thermal oxidation layer on the surface of the trench, forming wiring by filling a conductive layer in the lower part of the trench, forming an insulating layer on the wiring, removing the thermal oxidation layer over the insulating layer, forming an epitaxial silicon layer so that the trench is filled completely, forming a contact hole exposing the wiring by etching the epitaxial silicon layer and the insulating layer, forming an insulating spacer on the side walls of the contact hole, and forming a wiring plug in the contact hole in which the insulating layer has been formed. In the method for forming such wiring in the semiconductor device, metal wiring is formed in the silicon substrate.
    Type: Grant
    Filed: December 18, 2002
    Date of Patent: July 29, 2003
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Cheol Soo Park
  • Patent number: 6599799
    Abstract: An apparatus and method is presented for a DRAM memory cell array exhibiting improved alignment tolerance for bit line contact formation and utilizing closely-spaced double-sided stacked capacitors for increased overall feature density on the circuit die. The use of a sacrificial insulating layer, an etch-stop insulating layer, and insulating spacers surrounding the bit line contact plug permits wet etching of the sacrificial layer to enable double-sided capacitors to be formed close together. In the resulting structure, only the bit line contact plug and insulating sidewall spacers separates adjacent capacitors and hence DRAM cells can be more tightly packed on the circuit die. Another aspect of the invention is improved alignment tolerance of the bit line contact plug.
    Type: Grant
    Filed: April 8, 2002
    Date of Patent: July 29, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Sanh D. Tang, Robert J. Burke
  • Publication number: 20030134505
    Abstract: A method is described for forming a metal pattern in a low-dielectric constant substrate. A hardmask is prepared which includes a low-k lower hardmask layer and a top hardmask layer. The top hardmask layer is a sacrificial layer with a thickness of about 200 Å, preferably formed of a refractory nitride, and which serves as a stopping layer in a subsequent CMP metal removal process. The patterning is performed using resist layers. Oxidation damage to the lower hardmask layer is avoided by forming a protective layer in the hardmask, or by using a non-oxidizing resist strip process.
    Type: Application
    Filed: January 17, 2002
    Publication date: July 17, 2003
    Applicant: International Business Machines Corporation
    Inventors: Timothy J. Dalton, Minakshisundaran B. Anand, Michael D. Armacost, Shyng-Tsong Chen, Stephen M. Gates, Stephen E. Greco, Simon M. Karecki, Satyanarayana V. Nitta, Anna Karecki
  • Patent number: 6589882
    Abstract: The invention includes a method of cleaning a surface of a copper-containing material by exposing the surface to an acidic mixture comprising NO3−, F− and one or more organic acid anions having carboxylate groups. The invention also includes a semiconductor processing method of forming an opening to a copper-containing material. A mass is formed over a copper-containing material within an opening in a substrate. The mass contains at least one of an oxide barrier material and a dielectric material. A second opening is etched through the mass into the copper-containing material to form a base surface of the copper-containing material that is at least partially covered by particles comprising at least one of a copper oxide, a silicon oxide or a copper fluoride. The base surface is cleaned with a solution comprising nitric acid, hydrofluoric acid and one or more organic acids to remove at least some of the particles.
    Type: Grant
    Filed: October 24, 2001
    Date of Patent: July 8, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Michael T. Andreas, Paul A. Morgan
  • Patent number: 6589865
    Abstract: A structure and process is provided for filling integrated circuit cavities such as contacts and vias. These structures are filled at relatively low temperatures of no more than about 300° C., and preferably between about 20°-275° C., which temperature range permits for the use of low dielectric constant (&kgr;) polymers (i.e., &kgr;<˜3.0). Preferably, the cavities are provided with an elemental titanium-free liner to facilitate cavity filling, and the cavities are filled with CVD aluminum that is introduced into the cavities by way of a forcefill at pressures ranging from atmospheric to about 50 MPa, and preferably no more than about 30 MPa, at temperatures ranging from about 100°-300° C. Cavities filled in the foregoing manner exhibit electrical resistance levels that are up to 30% less than structures filled by conventional practices.
    Type: Grant
    Filed: July 6, 2001
    Date of Patent: July 8, 2003
    Assignee: Texas Instruments Incorporated
    Inventors: Girish A. Dixit, Anthony Konecni, Robert H. Havemann
  • Patent number: 6583056
    Abstract: A storage electrode has a truncated-conical “pipe-shaped” top section having a small inner diameter, mounted on a cylindrical base section having a large inner diameter. To fabricate the storage electrode, a buried contact plug is formed on a first insulating layer on a wafer, and an etching stop layer and a second insulating layer are formed on the first insulating layer. A third insulating layer is formed on the second insulating layer after implanting impurities into the second insulating layer. An opening is formed by anisotropically etching the third insulating layer and the second insulating layer using a photoresist pattern as an etching mask. A cleaning process is carried out such that the second insulating layer exposed through the opening is isotropically etched. After depositing polysilicon along a profile of the second and third insulating layers to a uniform thickness, the remaining third and second insulating layers are removed.
    Type: Grant
    Filed: December 12, 2001
    Date of Patent: June 24, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young Sub Yu, Seok Sik Kim, Ki Hyun Hwang, Han Jin Lim, Sung Je Choi
  • Patent number: 6583047
    Abstract: A method of forming a microelectronic device while preventing photoresist poisoning. Various layers of conductive metals and dielectric materials are deposited onto a substrate in selective sequence to form an integrated circuit. Vias and trenches are formed throughout the structure by exposing and patterning a photoresist material. The dielectric materials of the insulating layers are protected from the photoresist to prevent chemical reactions which lead to photoresist poisoning. This is done by forming a modified surface layer on the dielectric material by either depositing an additional layer that covers the dielectric material, or by modifying the exposed surface of the dielectric material to a plasma or chemical treatment.
    Type: Grant
    Filed: December 26, 2000
    Date of Patent: June 24, 2003
    Assignee: Honeywell International, Inc.
    Inventors: Brian J. Daniels, Jude A. Dunne, Joseph T. Kennedy
  • Patent number: 6576549
    Abstract: A method and structure for forming a metalized blind via. A dielectric layer is formed on a metallic layer, followed by laser drilling a depression in the dielectric layer such that a carbon film that includes the carbon is formed on a sidewall of the depression. If the laser drilling does not expose the metallic layer, then an anisotropic plasma etching, such as a reactive ion etching (RIE), may be used to clean and expose a surface of the metallic layer. The dielectric layer includes a dielectric material having a carbon based polymeric material, such as a permanent photoresist, a polyimide, and advanced solder mask (ASM). The metallic layer includes a metallic material, such as copper, aluminum, and gold. The carbon film is in conductive contact with the metallic layer, and the carbon film is sufficiently conductive to permit electroplating a continuous layer of metal (e.g., copper) directly on the carbon film without need of an electrolessly plated layer underneath the electroplated layer.
    Type: Grant
    Filed: October 28, 2002
    Date of Patent: June 10, 2003
    Assignee: International Business Machines Corporation
    Inventors: Frank D. Egitto, Elizabeth Foster, Raymond T. Galasco, David E. Houser, Mark L. Janecek, Thomas E. Kindl, Jeffrey A. Knight, Stephen W. MacQuarrie, Voya R. Markovich, Luis J. Matienzo, Amarjit S. Rai, David J. Russell, William T. Wike
  • Patent number: 6573167
    Abstract: A carbon hardmask (122) for etching hard-to-etch materials (110/112/114) such as Pt, Ir, Ru, IrO2, RuO2, BST, PZT, SBT, FeNi, and FeNiCo and other used in DRAMs, FeRAMs, and magnetic storage devices. Chemically assisted physical sputter etching using argon and limited or no oxygen may be used to etch the hard-to-etch materials (110/112/114) with high selectivity to the carbon hardmask (122).
    Type: Grant
    Filed: August 2, 2001
    Date of Patent: June 3, 2003
    Assignee: Texas Instruments Incorporated
    Inventors: Guoqiang Xing, Wei-Yung Hsu, Changming Jin
  • Publication number: 20030098478
    Abstract: A field effect transistor contains a gate stack with a first layer, preferably a polysilicon layer, on a gate oxide disposed on a substrate, and over the first layer, a second layer, preferably a silicide layer, is provided. Next to the gate electrode is a contact that is separated from the layers of the gate electrode by a layer containing silicon and a spacer layer. Therefore a recrystallization in the silicide layer at elevated temperatures is prevented, which would otherwise cause bulging of the silicide layer toward the contact. It thus prevents shorts between the gate electrode and the contact.
    Type: Application
    Filed: November 25, 2002
    Publication date: May 29, 2003
    Inventors: Dirk Tobben, Thomas Schuster
  • Publication number: 20030100181
    Abstract: A semiconductor device includes a lower copper line formed on a substrate, an interlayer insulating layer formed on the lower copper line, and an upper copper line formed on the interlayer insulating layer. A copper via contact extends through the interlayer insulating layer for electrically connecting the lower copper line and the upper copper line. A concave recess is formed within the lower copper line and is vertically aligned and arranged below the copper via contact. A patterned barrier layer is formed at a bottom portion of the concave recess, such that the lower copper line and the copper via contact are directly electrically connected at an interface along sides of the concave recess, without an intervening barrier layer.
    Type: Application
    Filed: January 9, 2003
    Publication date: May 29, 2003
    Inventors: Ki-Chul Park, Seung-Man Choi
  • Patent number: 6566251
    Abstract: Methods for creating one or more structures in a micromachined device. In one arrangement, the methods include the steps of providing a substrate, forming upstanding nonconductive mold walls on the substrate so that first and second wells are formed, the second well being wider than the first well. The method further includes applying a first material to the surface of the wells so that the first well fills with the first material before the second well, and removing the first material from the second well while leaving a portion in the first well.
    Type: Grant
    Filed: May 31, 2001
    Date of Patent: May 20, 2003
    Assignee: Georgia Tech Research Corporation
    Inventors: Mark G. Allen, Florent Cros, Jin-Woo Park, Kim Kieun
  • Patent number: 6566241
    Abstract: A method of forming metal contacts in a semiconductor device having an active metal contact region and a bit line contact region is provided. In the method, a contact pad is formed in the active metal contact region and the bit line contact region using a conductive plug. An etch stopper is formed on the upper sides of the conductive plug. A portion of a lower interlayer dielectric layer is etched so that the etch stopper protrudes above the lower interlayer dielectric layer. A bit line stack is formed in the bit line contact region. An etch stopper is formed in the active metal contact region. An upper interlayer dielectric layer is etched to expose the surfaces of the etch stopper and bit line capping layer pattern of the bit line stack. The exposed surfaces of the etch stopper and bit line capping layer pattern are etched to form a contact hole which exposes the conductive plug and a bit line conductive layer of the bit line stack. The contact hole is filled with a conductive layer.
    Type: Grant
    Filed: November 26, 2001
    Date of Patent: May 20, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Yoon-soo Chun
  • Patent number: 6559048
    Abstract: Via poisoning of vias formed in low k carbon-containing silicon oxide dielectric material is suppressed by forming the via in a layer of such dielectric material with a smooth inwardly sloped sidewall. Such a sloped sidewall via can be etched in a low k dielectric layer by first forming a via resist mask over the upper surface of such a dielectric layer, then heat treating the mask sufficiently to deform the sidewall geometry of the resist mask to form a sloped sidewall on the opening or openings in the heat treated resist mask. The resulting erosion of such a resist mask, during a subsequent etch step to form the via in the low k dielectric material through such a sloped sidewall resist mask, imparts a tapered or sloped sidewall geometry to the via which is then formed in the underlying layer of low k dielectric material.
    Type: Grant
    Filed: May 30, 2001
    Date of Patent: May 6, 2003
    Assignee: LSI Logic Corporation
    Inventors: Yong-Bae Kim, Philippe Schoenborn, Kai Zhang