Having Viahole With Sidewall Component Patents (Class 438/639)
  • Patent number: 7396762
    Abstract: Interconnect structures that include a conformal liner repair layer bridging breaches in a liner formed on roughened dielectric material in an insulating layer and methods of forming such interconnect structures. The conformal liner repair layer is formed of a conductive material, such as a cobalt-containing material. The conformal liner repair layer may be particularly useful for repairing discontinuities in a conductive liner disposed on roughened dielectric material bordering the trenches and vias of damascene interconnect structures.
    Type: Grant
    Filed: August 30, 2006
    Date of Patent: July 8, 2008
    Assignee: International Business Machines Corporation
    Inventors: Louis Lu-Chen Hsu, Jack Allan Mandelman, William Robert Tonti, Chih-Chao Yang
  • Patent number: 7393779
    Abstract: Sublithographic contact apertures through a dielectric are formed in a stack of dielectric, hardmask and oxide-containing seed layer. An initial aperture through the seed layer receives a deposition of oxide by liquid phase deposition, which adheres selectively to the exposed vertical walls of the aperture in the seed layer. The sublithographic aperture, reduced in size by the thickness of the added material, defines a reduced aperture in the hardmask. The reduced hardmask then defines the sublithographic aperture through the dielectric.
    Type: Grant
    Filed: October 31, 2005
    Date of Patent: July 1, 2008
    Assignee: International Business Machines Corporation
    Inventors: Toshiharu Furukawa, Mark C. Hakey, Steven J. Holmes, David V. Horak, Charles W. Koburger, III, Larry A. Nesbit
  • Patent number: 7389581
    Abstract: A compliant contact structure and contactor card for operably coupling with a semiconductor device to be tested includes a substantially planar substrate with a compliant contact formed therein. The compliant contact structure includes a portion fixed within the substrate and at least another portion integral with the fixed portion, laterally unsupported within a thickness of the substrate and extending beyond a side thereof. Dual-sided compliant contact structures, methods of forming compliant contact structures, a method of testing a semiconductor device and a testing system are also disclosed.
    Type: Grant
    Filed: May 3, 2005
    Date of Patent: June 24, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Charles M. Watkins, Kyle K. Kirby
  • Patent number: 7387961
    Abstract: A dual damascene structure with improved profiles and reduced defects and method of forming the same, the method including forming a first dielectric over a conductive area; forming a first dielectric insulator over the first dielectric; forming a first opening in the first dielectric insulator; lining the opening with a second dielectric; forming a second dielectric insulator over the first dielectric insulator; forming a second opening in the second dielectric insulator overlying and communicating with the first opening; and, filling the first and second openings with a conductive material to electrically communicate with the conductive area.
    Type: Grant
    Filed: January 31, 2005
    Date of Patent: June 17, 2008
    Inventors: Uway Tseng, Alex Huang, Kun-Szu Liu
  • Publication number: 20080136038
    Abstract: A backside contact pad is formed in an integrated circuit, possibly designed initially with just top side contact pads (150C), by forming an opening (220) through a top side contact pad (150C) and the semiconductor substrate (110). Conductive material (520, 540, 1110, 1130) is formed in the opening and in contact with the top side pad. The conductive material also provides a backside contact pad (1310). Other embodiments are also provided.
    Type: Application
    Filed: December 6, 2006
    Publication date: June 12, 2008
    Inventors: Sergey Savastiouk, Valentin Kosenko, James J. Roman
  • Patent number: 7381638
    Abstract: First material (106) is situated on the surface of a substructure (100 and 102) and in an opening (104), such as a Wench, that extends partway through the substructure. Second material (108) is situated on the first material in the opening. A physical sputter etch is performed on the structure while it is in a sputter etch module (206) to remove the parts of the first material overlying the substructure's surface and situated above the opening and to remove part of the second material overlying the first material in the opening so that remaining parts of the first and second materials are situated in the opening. The so-modified structure is transferred from the sputter etch module under a substantial vacuum, normally via a transfer module (202), to a deposition module (203, 204, or 205) where a layer of third material is deposited over the substructure's surface and over the parts of the first and second materials in the opening.
    Type: Grant
    Filed: June 1, 2005
    Date of Patent: June 3, 2008
    Assignee: National Semiconductor Corporation
    Inventor: Vassili Kitch
  • Patent number: 7378339
    Abstract: A method for forming a semiconductor device includes providing a first integrated circuit having a landing pad and attaching a second integrated circuit to the first integrated circuit using at least one bonding layer. The second integrated circuit has an inter-circuit trace, the inter-circuit trace has an inter-circuit trace opening. The method further includes forming an opening through the second integrated circuit, the opening extending through the inter-circuit trace opening, forming a selective barrier on exposed portions of the inter-circuit trace in the opening, extending the opening through the at least one bonding layer to the landing pad, and filling the opening with a conductive fill material. The selective barrier layer comprises at least one of cobalt or nickel, and the conductive fill material electrically connects the inter-circuit trace and the landing pad.
    Type: Grant
    Filed: March 30, 2006
    Date of Patent: May 27, 2008
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Scott K. Pozder, Lynne M. Michaelson, Varughese Mathew
  • Publication number: 20080116582
    Abstract: Interconnect structures including liner layers that are non-planar with at least the adjacent insulating layer and at least one capping layer on conductive features embedded in the insulating layer. The interconnect structure includes an insulating layer of a dielectric material having a top surface and a bottom surface between the top surface and a substrate. An opening, such as a trench, has sidewalls extending from the top surface of the insulating layer toward the bottom surface and is at least partially filled by a conductive feature. A capping layer is disposed on at least a top surface of the conductive feature. A conductive liner layer is disposed between the insulating layer and the conductive feature along at least the sidewalls of the opening. The conductive liner layer has sidewall portions projecting above the top surface of the insulating layer adjacent to the sidewalls of the opening.
    Type: Application
    Filed: November 22, 2006
    Publication date: May 22, 2008
    Inventors: Louis Lu-Chen Hsu, Jack Allan Mandelman, William Robert Tonti, Chih-Chao Yang
  • Publication number: 20080119042
    Abstract: A BEOL manufacturing process for forming a via process between two metal lines on a semiconductor wafer comprises depositing a portion of a first metal adhesion layer within a patterned via hole, followed by a cooling step. The cooling step is then followed by formation of the remainder of the first metal adhesion layer and formation of a second metal adhesion layer within the patterned via hole. This process of forming the remaining portion of the first metal adhesion layer can be referred to as a wafer load, unload, load (LUL) process. By using a LUL process, thermal history is minimized, which reduces Al extrusion at the via interfaces.
    Type: Application
    Filed: August 29, 2007
    Publication date: May 22, 2008
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventors: Tuung Luoh, Chi-Tung Huang, Kuang-Chao Chen, Candy Jiang
  • Patent number: 7372101
    Abstract: A low resistance buried back contact for SOI devices. A trench is etched in an insulating layer at minimum lithographic dimension, and sidewalls are deposited in the trench to decrease its width to sublithographic dimension. Conducting material is deposited in the trench, which serves as a low-resistance contact to the back side of the device. In another embodiment, the trench-fill material is separated from the device by an insulating layer, and serves as a back gate structure.
    Type: Grant
    Filed: September 30, 2005
    Date of Patent: May 13, 2008
    Assignee: Texas Instruments Incorporated
    Inventor: Theodore W. Houston
  • Patent number: 7371677
    Abstract: A semiconductor device has lateral conductors or traces that are formed of nanotubes such as carbon. A sacrificial layer is formed overlying the substrate. A dielectric layer is formed overlying the sacrificial layer. A lateral opening is formed by removing a portion of the dielectric layer and the sacrificial layer which is located between two columns of metallic catalysts. The lateral opening includes a neck portion and a cavity portion which is used as a constrained space to grow a nanotube. A plasma is used to apply electric charge that forms an electric field which controls the direction of formation of the nanotubes. Nanotubes from each column of metallic catalyst are laterally grown and either abut or merge into one nanotube. Contact to the nanotube may be made from either the neck portion or the columns of metallic catalysts.
    Type: Grant
    Filed: September 30, 2005
    Date of Patent: May 13, 2008
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Marius K. Orlowski, Shahid Rauf, Peter L. G. Ventzek
  • Publication number: 20080102624
    Abstract: A method of fabricating a semiconductor device includes forming a hard mask pattern over a substrate, wherein the hard mask pattern exposes a recess region, performing a first etching process on the exposed recess region to form a first recess having sidewalls and to form passivation layers on the sidewalls of the first recess wherein the passivation layers are comprised of an etch reactant of the first etching process, and performing a second etching process on the substrate below the first recess to form a second recess.
    Type: Application
    Filed: December 29, 2006
    Publication date: May 1, 2008
    Inventors: Yong-Tae Cho, Suk-Ki Kim
  • Patent number: 7363694
    Abstract: A compliant contact structure and contactor card for operably coupling with a semiconductor device to be tested includes a substantially planar substrate with a compliant contact formed therein. The compliant contact structure includes a portion fixed within the substrate and at least another portion integral with the fixed portion, laterally unsupported within a thickness of the substrate and extending beyond a side thereof. Dual-sided compliant contact structures, methods of forming compliant contact structures, a method of testing a semiconductor device and a testing system are also disclosed.
    Type: Grant
    Filed: January 20, 2006
    Date of Patent: April 29, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Charles M. Watkins, Kyle K. Kirby
  • Patent number: 7365001
    Abstract: A method of making a diffusion barrier for a interconnect structure. The method comprises: providing a conductive line in a bottom dielectric trench; depositing a sacrificial liner on the cap layer; depositing an interlayer dielectric; forming a trench and a via in the top interlayer dielectric; and removing a portion of the cap layer and the sacrificial layer proximate to the bottom surface of the via. The removed portions of the cap layer and sacrificial layer deposit predominantly along the lower sidewalls of the via. The conductive line is in contact with a cap layer, and the sacrificial layer is in contact with the cap layer. The invention is also directed to the interconnect structures resulting from the inventive process.
    Type: Grant
    Filed: December 16, 2003
    Date of Patent: April 29, 2008
    Assignee: International Business Machines Corporation
    Inventors: Chih-Chao Yang, Louis L. Hsu, Keith Kwong Hon Wong, Timothy Joseph Dalton, Carl Radens, Larry Clevenger
  • Patent number: 7361605
    Abstract: In processing an integrated circuit structure including a contact arrangement that is initially covered by a stop layer, a first plasma is used to etch to form openings through an overall insulation layer covered by a patterned layer of photoresist such that one contact opening is associated with each contact. Stripping of the patterned layer of photoresist and related residues is performed. After stripping, the stop layer is removed from the contacts. In one feature, the stop layer is removed from the contacts by etching the stop layer using a plasma that is generated from a plasma gas input that includes hydrogen and essentially no oxygen. In another feature, the photoresist is stripped after the stop layer is removed. Stripping the patterned layer of photoresist and the related residues is performed, in this case, using a plasma that is formed predominantly including hydrogen without oxygen.
    Type: Grant
    Filed: January 19, 2005
    Date of Patent: April 22, 2008
    Assignee: Mattson Technology, Inc.
    Inventors: Stephen E. Savas, Wolfgang Helle
  • Patent number: 7361589
    Abstract: An integrated circuit (IC) may include a substrate, a first dielectric layer adjacent the substrate, and at least one trench in the first dielectric layer. The IC may also include a metal liner within the at least one trench, and a first conductive region including copper within the at least one trench. A cap layer including metal may be provided on the first conductive region. A second dielectric layer may be over the first conductive region and the cap layer. A dielectric etch stop and diffusion barrier layer may be over the second dielectric layer, and a via may be over the first conductive region and through the second dielectric layer and the cap layer. A diffusion barrier layer may be on sidewalls of the via, and an alloy seed layer including copper and at least one of tantalum, molybdenum, chromium, and tungsten may be over the diffusion barrier.
    Type: Grant
    Filed: August 31, 2006
    Date of Patent: April 22, 2008
    Assignee: Beck Semiconductor LLC
    Inventor: James A. Cunningham
  • Patent number: 7358170
    Abstract: The invention includes methods of electroless plating of nickel selectively on exposed conductive surfaces relative to exposed insulative surfaces. The electroless plating can utilize a bath which contains triethanolamine, maleic anhydride and at least one nickel salt.
    Type: Grant
    Filed: June 9, 2005
    Date of Patent: April 15, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Chandra Tiwari
  • Patent number: 7352064
    Abstract: Methods of forming a metal line and/or via critical dimension (CD) in a single or dual damascene process on a semiconductor substrate, and the resist scheme implemented, are disclosed. The method includes forming a multiple layer resist scheme including a first planarizing layer of a first type material over the substrate, a second dielectric layer of a second type material over the planarizing layer, and a third photoresist layer of a third type material over the dielectric layer. The types of material alternate between organic and inorganic material. The third layer is patterned for the metal line and/or via CD. Sequential etching to form the metal line and/or via critical dimension using a tailored etch recipe particular to each of the first photoresist layer, the second dielectric layer and the third planarizing layer as each layer is exposed is then used. Accurate CD formation and adequate resist budget are provided.
    Type: Grant
    Filed: November 4, 2004
    Date of Patent: April 1, 2008
    Assignee: International Business Machines Corporation
    Inventors: Nicholas C. M. Fuller, Timothy J. Dalton, Raymond Joy, Yi-hsiung Lin, Chun Hui Low
  • Patent number: 7344976
    Abstract: An adhesion layer composed of a titanium film and a titanium nitride film is formed by CVD on the inner wall of a contact hole formed in a multilayer film composed of an interlayer insulating film, a silicon nitride film, and a silicon dioxide film. Then, a conductive film made of tungsten or polysilicon is filled by CVD in the contact hole and the respective portions of the conductive film and the adhesion layer which are located over the silicon dioxide film are removed by CMP. Subsequently, the silicon dioxide film is removed by an etch-back method or a CMP method so that the silicon nitride film is exposed. This can prevent the delamination of the adhesion layer from the silicon nitride film as a hydrogen barrier film and also prevent the formation of a scratch in the silicon nitride film.
    Type: Grant
    Filed: March 17, 2006
    Date of Patent: March 18, 2008
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Hiroshi Yoshida, Takumi Mikawa
  • Patent number: 7344974
    Abstract: A method for forming a metallization contact in a semiconductor device includes the steps of: (a) forming an insulating layer on a semiconductor substrate including an active device region; (b) forming a contact hole to expose a portion of the active device region by etching a portion of the insulating layer; (c) forming a CVD TiN layer on the insulating layer and inside the contact hole; (d) forming a PVD TiN layer on the CVD TiN layer using ionized metal plasma sputtering; and (e) forming a metal layer on the PVD TiN layer.
    Type: Grant
    Filed: December 29, 2005
    Date of Patent: March 18, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jung Joo Kim
  • Patent number: 7342301
    Abstract: A connection device includes a plurality of re-configurable vias that connect a first metal layer to a second metal layer. An actuating element is disposed between the first metal layer and the second metal layer. The actuating element changes the configuration of the plurality of re-configurable vias to change the plurality of re-configurable vias between a conductive state and a non-conductive state.
    Type: Grant
    Filed: May 9, 2006
    Date of Patent: March 11, 2008
    Assignee: International Business Machines Corporation
    Inventors: David J. Frank, Kathryn W. Guarini, Christopher B. Murray, Xinlin Wang, Hon-Sum Philip Wong
  • Patent number: 7335592
    Abstract: A semiconductor chip package includes a semiconductor chip having a through hole extending there through from an active first surface to an inactive second surface. A first conductive pad at least partially surrounds the through hole on the active first surface of the semiconductor chip. The package also includes a printed circuit board having a first surface attached to the inactive second surface of the semiconductor chip, and a second conductive pad aligned with the through hole of the semiconductor chip. A conductive material fills the through hole and contacts the first and second conductive pads.
    Type: Grant
    Filed: October 20, 2005
    Date of Patent: February 26, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyeong-Seob Kim, Tae-Gyeong Chung
  • Patent number: 7335589
    Abstract: In a manufacture of a semiconductor device, spacers are formed on sidewalls of structures including conductive patterns and insulation patterns. The insulation patterns are at least four times thinner than the conductive patterns. After gaps between the structures are filled with a first insulation film, etch stop film patterns having a width which is wider than that of the structures are formed on the structures. A second insulation film is formed to cover the resultant structures without voids between the structures.
    Type: Grant
    Filed: March 30, 2006
    Date of Patent: February 26, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Ju-Bum Lee
  • Patent number: 7335517
    Abstract: A multichip semiconductor device is disclosed in which chips are stacked each of which comprises a semiconductor substrate formed on top with circuit components and an interlayer insulating film formed on the top of the semiconductor substrate. At least one of the chips has a connect plug of a metal formed in a through hole that passes through the semiconductor substrate and the interlayer insulating film. The chip with the connect plug is electrically connected with another chip by that connect plug.
    Type: Grant
    Filed: July 30, 2004
    Date of Patent: February 26, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Nobuo Hayasaka, Katsuya Okumura, Keiichi Sasaki, Mie Matsuo
  • Patent number: 7332428
    Abstract: In a method of fabricating a semiconductor device, a dielectric layer is formed over a conductive region. A dual damascene structure including a trench and a via is formed within the dielectric layer. A liner is formed over the dual damascene structure. The liner is selectively removed from above the upper surface of the conductive region to expose the upper surface of the conductive region. After the selectively removing process, at least a portion of the liner remains over the lower surface of the trench and the sidewalls of the trench and the via hole. A wet etch can then be performed to etch a recess in the conductive region. A conductive material is then formed within the damascene structure. This conductive material physically contacts the conductive region and is separated from the dielectric layer by the remaining portion of the liner.
    Type: Grant
    Filed: February 28, 2005
    Date of Patent: February 19, 2008
    Assignee: Infineon Technologies AG
    Inventor: Michael Beck
  • Patent number: 7329601
    Abstract: Disclosed is a method for manufacturing a semiconductor device, comprising forming a low dielectric constant insulating film having a porous structure above a semiconductor substrate, forming a recess in the low dielectric constant insulating film, providing a burying insulating film above the low dielectric constant insulating film having the recess and in the recess, removing a the burying insulating film provided in the recess, thereby opening the recess, and burying conductive material in the recess, forming a conductive portion.
    Type: Grant
    Filed: March 7, 2005
    Date of Patent: February 12, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Hideshi Miyajima
  • Patent number: 7329602
    Abstract: A method of forming a wiring structure for an integrated circuit includes the steps of forming a plurality of features in a layer of dielectric material, and forming spacers on sidewalls of the features. Conductors are then formed in the features, being separated from the sidewalls by the spacers. The spacers are then removed, forming air gaps at the sidewalls so that the conductors are separated from the sidewalls by the air gaps. Dielectric layers above and below the conductors may be low-k dielectrics having a dielectric constant less than that of the dielectric between the conductors. A cross-section of each of the conductors has a bottom in contact with the a low-k dielectric layer, a top in contact with another low-k dielectric, and sides in contact only with the air gaps. The air gaps serve to reduce the intralevel capacitance.
    Type: Grant
    Filed: August 15, 2005
    Date of Patent: February 12, 2008
    Assignee: International Business Machines Corporation
    Inventors: Richard S. Wise, Bomy A. Chen, Mark C. Hakey, Hongwen Yan
  • Patent number: 7326647
    Abstract: A method for use in fabrication of a semiconductor device comprises forming a conformal conductive layer over a planarized surface of a dielectric layer, and within an opening formed in the dielectric layer. The opening will typically have an aspect ratio of about 4:1 or greater. An etch is performed with specified gasses under a range of specified conditions which removes the conformal conductive layer from the planarized surface, but which leaves unetched the conformal conductive layer within the opening.
    Type: Grant
    Filed: August 30, 2005
    Date of Patent: February 5, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Alex J. Schrinksy, Mark E. Jost
  • Patent number: 7326645
    Abstract: Methods for forming a copper interconnect of a semiconductor device are disclosed. A disclosed method comprises forming a lower metal interconnect; sequentially depositing a capping layer, a first insulating layer, and a second insulating layer on the lower metal interconnect; forming a via hole by etching the first insulating layer and the second insulating layer; forming a trench and terraces by etching the second insulating layer; and exposing at least a portion of the top surface of the lower metal interconnect by etching the capping layer.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: February 5, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Joon Bum Shim
  • Patent number: 7323410
    Abstract: A method and structure for a composite stud contact interface with a decreased contact resistance and improved reliability. A selective dry etch is used which comprises a fluorine containing gas. The contact resistance is reduced by partially dry-etching back the tungsten contact after or during the M1 RIE process. The recessed contact is then subsequently metalized during the M1 liner/plating process. The tungsten contact height is reduced after it has been fully formed.
    Type: Grant
    Filed: August 8, 2005
    Date of Patent: January 29, 2008
    Assignee: International Business Machines Corporation
    Inventors: Theodorus E. Standaert, William H. Brearley, Stephen E. Greco, Sujatha Sankaran
  • Patent number: 7319274
    Abstract: Methods for the production of airgaps in semiconductor devices and devices produced using such methods are disclosed. An example semiconductor device includes a damascene stack formed using such methods. The damascene stack includes a patterned dielectric layer including an interconnect structure, where the dielectric layer is formed of a dielectric material including Si, C and O. The damascene stack also includes a converted portion of the dielectric layer, where the converted portion is adjacent to the at least one interconnect structure and has a lower carbon content than the dielectric material. The damascene stack also includes an airgap formed adjacent to the interconnect structure, the airgap being formed by removing at least part of the converted portion using an etch compound.
    Type: Grant
    Filed: March 22, 2006
    Date of Patent: January 15, 2008
    Assignee: Interuniversitair Microelektronica Centrum (IMEC v2w)
    Inventors: Gerald Beyer, Jean Paul Gueneau de Mussy, Karen Maex, Victor Sutcliffe
  • Patent number: 7312400
    Abstract: A multilayer wiring board assembly component comprises: an insulating substrate component (the insulating resin layer 111); a conductive layer 112 formed on one surface of said insulating substrate component 111 in the form of an electrode pattern; an adhesive layer 113 formed on the other surface of said insulating substrate component 111; and a conductive resin composition 115 with which is filled a through hole passing through said insulating substrate component 111, said adhesive layer and said conductive layer in order to make interlayer interconnection. The bore diameter of the conductive layer portion 114b of the through hole 114 is smaller than the bore diameter of the insulating resin layer portion and the adhesive layer portion 114a to establish electrical connection between the conductive resin composition 115 and the conductive layer 112 by the rare surface 112a of the conductive layer 112.
    Type: Grant
    Filed: February 21, 2003
    Date of Patent: December 25, 2007
    Assignee: Fujikura Ltd.
    Inventors: Shoji Ito, Osamu Nakao, Reiji Higuchi, Masahiro Okamoto
  • Patent number: 7312121
    Abstract: Manufacturing a semiconductor memory by first forming a first insulating layer covering a conductive pad. Next forming and pattering a bit line conductive layer and a second insulating layer to expose a part of the first insulating layer. A third insulating layer covering the exposed surfaces of the first insulating layer is formed. Exposing an upper surface of the bit line conductive layer pattern and an upper surface of the third insulating layer. Removing part of the third insulating layer and first insulating layer to expose the conductive pad. Forming a spacer on the side walls of the bit line conductive layer pattern and the first insulating layer. An insulating layer pattern and a second spacer layer are respectively formed on the bit line conductive layer pattern and on a side wall of the first spacer and a conductive plug, which is in contact with the conductive pad is formed.
    Type: Grant
    Filed: June 16, 2005
    Date of Patent: December 25, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jung-woo Seo, Tae-hyuk Ahn, Jeong-sic Jeon
  • Patent number: 7309649
    Abstract: A method to form a closed air gap interconnect structure is described. A starting structure made of regions of a permanent support dielectric under the interconnect lines and surrounding interconnect vias with one or more sacrificial dielectrics present in the remaining portions of the interconnect structure, is capped with a dielectric barrier which is perforated using a stencil with a regular array of holes. The sacrificial dielectrics are then extracted through the holes in the dielectric barrier layer such that the interconnect lines are substantially surrounded by air except for the regions of the support dielectric under the lines. The holes in the cap layer are closed off by depositing a second barrier dielectric so that a closed air gap is formed. Several embodiments of this method and the resulting structures are described.
    Type: Grant
    Filed: April 17, 2006
    Date of Patent: December 18, 2007
    Assignee: International Business Machines Corporation
    Inventors: Matthew E Colburn, Timothy J Dalton, Elbert Huang, Anna Karecki, legal representative, Satya V Nitta, Sampath Purushothaman, Katherine L Saenger, Maheswaran Surendra, Simon M Karecki, deceased
  • Patent number: 7309639
    Abstract: The RF impedance of a metal trace at gigahertz frequencies is reduced by forming the metal trace to have a base region and a number of fingers that extend away from the base region. When formed to have a number of loops, the metal trace forms an inductor with an increased Q.
    Type: Grant
    Filed: April 8, 2004
    Date of Patent: December 18, 2007
    Assignee: National Semiconductor Corporation
    Inventors: Peter J. Hopper, Peter Johnson, Kyuwoon Hwang, Michael Mian, Robert Drury
  • Patent number: 7300868
    Abstract: A method is provided of fabricating a damascene interconnection. The method begins by forming on a substrate a first dielectric layer, a capping layer on the first dielectric sublayer and a resist pattern over the capping layer to define a first interconnect opening. The capping layer and the dielectric layer are etched through the resist pattern to form the first interconnect opening. The resist pattern is removed and a barrier layer is applied over the capping layer and in the first interconnect opening. An interconnection is formed by filling the first interconnect opening with conductive material. The interconnection is planarized to remove excess material and a portion of the first dielectric layer damaged by the planarizing step is selectively etched. A second dielectric layer is applied to replace the damaged portion of the first dielectric.
    Type: Grant
    Filed: March 30, 2006
    Date of Patent: November 27, 2007
    Assignees: Sony Corporation, Sony Electronics Inc.
    Inventors: Masanaga Fukasawa, Takeshi Nogami
  • Patent number: 7297628
    Abstract: Inwardly-tapered openings are created in an Anti-Reflection Coating layer (ARC layer) provided beneath a patterned photoresist layer. The smaller, bottom width dimensions of the inwardly-tapered openings are used for defining further openings in an interlayer dielectric region (ILD) provided beneath the ARC layer. In one embodiment, the ILD separates an active layers set of an integrated circuit from its first major interconnect layer. Further in one embodiment, a taper-inducing etch recipe is used to create the inwardly-tapered ARC openings, where the etch recipe uses a mixture of CF4 and CHF3 and where the CF4/CHF3 volumetric inflow ratio is substantially less than 5 to 1, and more preferably closer to 1 to 1.
    Type: Grant
    Filed: November 19, 2003
    Date of Patent: November 20, 2007
    Assignee: Promos Technologies, Inc.
    Inventors: Chunyuan Chao, Kuei-Chang Tsai, George A. Kovall
  • Patent number: 7282437
    Abstract: An insulating tube includes a underlying insulating film, a first sidewall insulating film disposed on the underlying insulating film, a second sidewall insulating film disposed on the underlying insulating film, opposite to the first sidewall insulating film so as to provide a cavity between the first and second sidewall insulating films having the same height as the first sidewall insulating film, and an upper insulating film provided over the first and second sidewall insulating films.
    Type: Grant
    Filed: October 6, 2005
    Date of Patent: October 16, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tsuyoshi Matsumura, Takahito Nakajima, Hiroshi Kawamoto, Mikie Miyasato, Yoshihiro Uozumi
  • Patent number: 7279433
    Abstract: A method for forming a dielectric layer is disclosed herein. In accordance with the method, a first material is provided (303) which comprises a suspension of nanoparticles in a liquid medium. A dielectric layer is then formed (305) on the substrate from the suspension through an evaporative process.
    Type: Grant
    Filed: September 20, 2004
    Date of Patent: October 9, 2007
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Peter L. G. Ventzek, Kurt Junker, Marius Orlowski
  • Patent number: 7273809
    Abstract: A method for fabricating an ultra-small electrode or plug contact for use in chalcogenide memory cells specifically, and in semiconductor devices generally, in which disposable spacers are utilized to fabricate ultra-small pores into which the electrodes are formed. The electrodes thus defined have minimum lateral dimensions ranging from approximately 500 to 4000 Angstroms. The pores thus defined may then be used to fabricate a chalcogenide memory cell or other semiconductor devices.
    Type: Grant
    Filed: August 31, 2004
    Date of Patent: September 25, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Russell C. Zahorik
  • Patent number: 7271089
    Abstract: A barrier layer forming method includes providing a porous dielectric layer over a substrate, the dielectric layer having a surface with exposed pores, and treating the dielectric layer with a plasma formed from a methane-containing gas. The treating seals the exposed pores. The method includes depositing a barrier layer over the surface, the barrier layer being continuous over the sealed pores. The porous dielectric may be low K. The plasma may be formed at a bias of at least about 100 volts.
    Type: Grant
    Filed: September 1, 2004
    Date of Patent: September 18, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandu, Bradley J. Howard
  • Patent number: 7271091
    Abstract: A method for forming a metal pattern in a semiconductor device which is capable of reducing contact resistivity with an interconnection contact. The method includes forming a tungsten interconnection contact passing through a lower insulating layer on a semiconductor substrate, forming an upper insulating layer covering the interconnection contact, and forming a groove having the same line width as a damascene trench on the upper insulating layer. The method also includes forming a mask spacer on a sidewall of the groove, forming the damascene trench having an inclined bottom profile for exposing a top surface and a portion of a sidewall of the interconnection contact, and forming a metal pattern with which the damascene trench is filled, the metal pattern electrically connected to the interconnection contact.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: September 18, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Date-Gun Lee
  • Patent number: 7268069
    Abstract: A method of fabricating a semiconductor device includes forming a lower wiring layer on a semiconductor substrate, forming an interlayer insulating film on the lower wiring layer, layer, forming a plurality of. contact plugs in the interlayer insulating film so that the contact plugs are brought into electrical contact with the lower wiring layer, thereby forming an interlayer wiring layer, forming an upper wiring, layer on the interlayer wiring layer so that the upper wiring layer is brought into electrical contact with the contact plugs, and patterning the upper wiring layer so that the upper wiring layer corresponds to the contact plugs. In the patterning, after the upper wiring layer has been etched, the exposed interlayer insulating film and the exposed contact plugs are etched.
    Type: Grant
    Filed: November 16, 2004
    Date of Patent: September 11, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Katsuhiro Ishida, Hiroshi Sugiura, Makoto Hasegawa, Katsuya Ito
  • Patent number: 7256502
    Abstract: A metal interconnection for an integrated circuit device is fabricated by forming a trench in an integrated circuit substrate and a via hole beneath a portion of the trench. The trench includes a trench sidewall and the via hole includes a sacrificial film therein. A buffer layer is formed on the trench sidewall. At least some of the sacrificial film is removed from the via hole by etching the sacrificial film through the trench that includes the buffer layer on the trench sidewall. The metal interconnection is formed in the via hole from which at least some of the sacrificial film has been removed, and in the trench. The buffer layer may use material having etch selectivity to an etchant which is used when removing the sacrificial film, to thereby protect the trench sidewall when removing the sacrificial film.
    Type: Grant
    Filed: July 29, 2004
    Date of Patent: August 14, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Jin-Sung Chung
  • Patent number: 7253099
    Abstract: According to some embodiments, a gate electrode structure including a gate electrode stack and a spacer, and source/drain region are formed on a semiconductor substrate. A first interlayer insulating layer having a thickness greater than that of the gate electrode structure is formed on the semiconductor substrate. On the first interlayer insulating layer, an etch inducing and focusing mask extending in a same direction as a length direction of the gate electrode structure and covering the gate electrode structure is formed. A second interlayer insulating layer is formed on the first interlayer insulating layer. A photoresist pattern is formed on the second interlayer insulating layer. The second interlayer insulating layer and the first interlayer insulating layer are sequentially etched using the photoresist pattern as an etch mask, thereby forming a SAC hole. A conductive material is used to fill in the SAC hole to form a SAC pad.
    Type: Grant
    Filed: September 30, 2004
    Date of Patent: August 7, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae-Hee Hwang, Jeong-Yun Lee, Tae-Ryong Kim, Yong-Hyeon Park
  • Patent number: 7253097
    Abstract: An integrated circuit system includes providing a semiconductor substrate having a semiconductor device provided thereon. A first dielectric layer is formed over the semiconductor substrate, and a first conductor core is formed in the first dielectric layer. A stop layer is formed over the first conductor core. A second dielectric layer is formed over the stop layer. A channel and a via are formed in the second dielectric layer. The channel and the via in the second dielectric layer are wet cleaned. A barrier metal layer is deposited to line the channel and the via in the second dielectric layer. The barrier metal layer is selectively etched from the bottom of the via in the dielectric layer, and a second conductor core is formed over the barrier metal layer to fill the second channel and the via to connect the second conductor core to the first conductor core.
    Type: Grant
    Filed: June 30, 2005
    Date of Patent: August 7, 2007
    Assignee: Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Yeow Kheng Lim, Chim Seng Seet, Tae Jong Lee, Liang-Choo Hsia, Kin Leong Pey
  • Patent number: 7250371
    Abstract: A feature in a layer is provided. A photoresist layer is formed over the layer. The photoresist layer is patterned to form photoresist features with photoresist sidewalls, where the photoresist features have a first critical dimension. A conformal layer is deposited over the sidewalls of the photoresist features to reduce the critical dimensions of the photoresist features. Features are etched into the layer, wherein the layer features have a second critical dimension, which is less than the first critical dimension.
    Type: Grant
    Filed: August 26, 2003
    Date of Patent: July 31, 2007
    Assignee: Lam Research Corporation
    Inventors: Sean S. Kang, Sangheon Lee, Wan-Lin Chen, Eric A. Hudson, S. M. Reza Sadjadi, Gan Ming Zhao
  • Patent number: 7247555
    Abstract: A method of forming trench openings in a dual damascene trench and via etch process by using a two component hard mask layer, termed a bi-layer, over different intermetal dielectrics, IMD, to solve dual damascene patterning problems, such as, fencing and sub-trench formation. Via first patterning in dual damascene processing is one of the major integration schemes for copper backend of line (BEOL) integration. Via first dual damascene scheme usually uses a hard mask layer deposited on top of an inter-metal dielectric (IMD) film stack. The dual damascene trench etch requires uniform trench depth across wafer after etch. In addition, via top corner profiles need to be well maintained without any fencing or faceting. The present method solves these problems by using a two component hard mask layer, termed a bi-layer, deposited directly on top of an inter-metal dielectric (IMD) film stack.
    Type: Grant
    Filed: January 29, 2004
    Date of Patent: July 24, 2007
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Hai Cong, Yong Kong Siew, Liang Choo Hsia
  • Patent number: 7247553
    Abstract: To ensure the connectability of wiring lines in a semiconductor device having terminals or reservoirs, plural terminals of a cell, which constitutes the semiconductor device, are each formed in a shape having a length corresponding to two or more lattice points. The terminals are arranged so that one or more lattice points are interposed between adjacent terminals. Among the terminals, as to terminals that are adjacent to each other in their shorter direction, it is allowable for them to partially overlap each other in their shorter direction. In this state, second-layer wiring lines are connected to the terminals via through holes, whereby reservoirs can be generated at the terminals, respectively.
    Type: Grant
    Filed: May 8, 2003
    Date of Patent: July 24, 2007
    Assignee: Renesas Technology Corp.
    Inventors: Masayuki Ohayashi, Takashi Yokoi
  • Patent number: 7241683
    Abstract: A method for forming features in an etch layer is provided. A first mask is formed over the etch layer where the first mask defines a plurality of spaces with widths. The first mask is laterally etched where the etched first mask defines a plurality of spaces with widths that are greater than the widths of the spaces of the first mask. A sidewall layer is formed over the etched first mask where the sidewall layer defines a plurality of spaces with widths that are less than the widths of the spaces defined by the etched first mask. Features are etched into the etch layer through the sidewall layer, where the features have widths that are smaller than the widths of the spaces defined by the etched first mask. The mask and sidewall layer are removed.
    Type: Grant
    Filed: March 8, 2005
    Date of Patent: July 10, 2007
    Assignee: Lam Research Corporation
    Inventors: Eric Hudson, S. M. Reza Sadjadi