Having Viahole With Sidewall Component Patents (Class 438/639)
  • Patent number: 7238619
    Abstract: A via-first dual damascene process is disclosed. When forming trench lines directly above two small pitched, dense via openings having diameter that is substantially equal to the line width of the trench lines, the trench photoresist is biased on the via openings to partially mask the sidewalls of the two dense via openings. By doing this, via-to-via bridging defects can be avoided.
    Type: Grant
    Filed: July 6, 2005
    Date of Patent: July 3, 2007
    Assignee: United Microelectronics Corp.
    Inventors: Wen-Zhan Zhou, Hong Ma, Kuang-Yeh Chang
  • Patent number: 7235489
    Abstract: The present invention provides an interconnect that can be employed in an integrated circuit. The interconnect includes a metal line located over a substrate, a dielectric layer located over the metal line, and an interconnect located in the dielectric layer, including a landed portion located over the metal line and an unlanded portion located along at least a portion of a lateral edge of the metal line. The unlanded portion is at least partially filled with a polymer, and the landed portion is substantially filled with a conductive material. A method for manufacturing the interconnect is also provided.
    Type: Grant
    Filed: May 21, 2004
    Date of Patent: June 26, 2007
    Assignee: Agere Systems Inc.
    Inventors: Ranbir Singh, Sen Sidhartha, Nace Rossi
  • Patent number: 7235479
    Abstract: A method of fabricating a semiconductor device. The method comprises creating a via in a dielectric layer that is formed on a substrate, filling the via, and optionally, the surface of the dielectric layer with a sacrificial material, patterning a first photoresist layer on the sacrificial material to define a trench for the semiconductor device, removing the first photoresist layer without affecting the sacrificial material, repatterning a second photoresist layer on the sacrificial material to define the trench for the semiconductor device, forming the trench, and removing the second photoresist layer and the sacrificial material completely after the trench is formed.
    Type: Grant
    Filed: August 26, 2004
    Date of Patent: June 26, 2007
    Assignee: Applied Materials, Inc.
    Inventor: Steven Verhaverbeke
  • Patent number: 7214612
    Abstract: A dual damascene structure is described, including a substrate, a dielectric layer, a hard mask layer, a contact and a conductive line. The dielectric layer is located on the substrate, the hard mask layer is on the dielectric layer, the contact is located in the dielectric layer, and a horizontal cross-section of the contact has an asymmetrically rounded outline. The conductive line is in the hard mask layer and the dielectric layer, and is located on and electrically connected to the contact. The conductive line has a laterally swelling portion on an edge portion of the first contact, wherein the borders of the laterally swelling portion and the edge portion are contiguous.
    Type: Grant
    Filed: August 31, 2005
    Date of Patent: May 8, 2007
    Assignee: United Microelectronics Corp.
    Inventors: Jen-Ren Huang, Cheng-Ming Weng, Miao-Chun Lin
  • Patent number: 7214610
    Abstract: A process for producing aluminum-filled contact holes in a wafer is disclosed. The process uses a coating installation that includes a plurality of vacuum-processing chambers that are coupled to one another via at least one transfer chamber with an associated handler for transferring the wafers. The preferred process including forming the contact holes and depositing a barrier layer. The wafer is cooled to ambient temperature. A cold aluminum PVD coating process can then be carried out in a PVD-aluminum ESC chamber. After the wafer is heated (e.g., to a temperature of less than about 450° C.), a hot aluminum PVD deposition process is carried out in the PVD-aluminum ESC chamber.
    Type: Grant
    Filed: January 30, 2004
    Date of Patent: May 8, 2007
    Assignee: Infineon Technologies AG
    Inventors: Jens Hahn, Sven Schmidbauer
  • Patent number: 7214602
    Abstract: A method of forming a conductive structure is disclosed. The method includes forming an interconnect in a substrate, and forming a layer of iridium on the interconnect. The layer of iridium has a thickness of less than six hundred angstroms. The method further includes annealing the layer of iridium, forming a dielectric layer on the layer of iridium, and forming a conductive layer on the dielectric layer.
    Type: Grant
    Filed: May 18, 2004
    Date of Patent: May 8, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Eugene P. Marsh
  • Patent number: 7199043
    Abstract: Disclosed in a method of forming a copper wiring in a semiconductor device. A copper layer buries a damascene pattern in which an interlayer insulating film of a low dielectric constant. The copper layer is polished by means of a chemical mechanical polishing process to form a copper wiring within a damascene pattern. At this time, the chemical mechanical polishing process is overly performed so that the top surface of the copper wiring is concaved and is lower than the surface of the interlayer insulating film of the low dielectric constant neighboring it. Furthermore, an annealing process is performed so that the top surface of the copper wiring is changed from the concaved shape to a convex shape while stabilizing the copper wiring. A copper anti-diffusion insulating film is then formed on the entire structure including the top surface of the copper wiring having the convex shape.
    Type: Grant
    Filed: December 30, 2003
    Date of Patent: April 3, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sang Kyun Park
  • Patent number: 7195995
    Abstract: A method of manufacturing a memory device addressing reliability and refresh characteristics through the use of a multilayered doped conductor, and a method making is described. The multilayered doped conductor creates a high dopant concentration in the active area close to the channel region. The rich dopant layer created by the multilayered doped conductor is less susceptible to depletion from trapped charges in the oxide. This improves device reliability at burn-in and lowers junction leakage, thereby providing a longer period between refresh cycles.
    Type: Grant
    Filed: November 16, 2004
    Date of Patent: March 27, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Chandra V. Mouli
  • Patent number: 7183195
    Abstract: A method of fabricating dual damascene interconnections is provided. A dual damascene region is formed in a hybrid dielectric layer having a dielectric constant of 3.3 or less, and a carbon-free inorganic material is used as a via filler. The present invention improves electrical properties of dual damascene interconnections and minimizes defects.
    Type: Grant
    Filed: July 23, 2003
    Date of Patent: February 27, 2007
    Assignee: Samsung Electronics, Co., Ltd.
    Inventors: Kyoung-woo Lee, Soo-geun Lee, Wan-jae Park, Jae-hak Kim, Hong-jae Shin
  • Patent number: 7183183
    Abstract: A method for forming a mechanically strengthened feature in a low-k dielectric film on a substrate includes using either spin-on-dielectric (SOD) techniques, or chemical vapor deposition (CVD) techniques to form a low-k dielectric film on the substrate. A sidewall of the feature in the low-k dielectric film is then treated in order to increase the film's mechanical strength. Treatment of the sidewall of the feature in the low-k dielectric film comprises forming a hardened layer by subjecting the low-k dielectric film to low energy, high flux ion implantation. Process parameters of the ion implantation are selected such that the implantation process does not cause a substantial change in the dielectric constant of the low-k dielectric film.
    Type: Grant
    Filed: July 23, 2004
    Date of Patent: February 27, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Kenneth Duerksen, David C. Wang, Robert J. Soave
  • Patent number: 7176127
    Abstract: An adhesion layer for causing a plug for electrically connecting a lower wiring and an upper wiring opposite to each other with an interlayer insulating film interposed therebetween to adhere to the interlayer insulating film is formed within a through hole for forming the plug, based on a predetermined aspect ratio represented by a ratio of a depth dimension of the through hole to a diameter dimension of the through hole.
    Type: Grant
    Filed: March 18, 2005
    Date of Patent: February 13, 2007
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Makiko Nakamura
  • Patent number: 7176122
    Abstract: A polymer dielectric material includes a sidewall passivating layer on the opposing sidewall surfaces of an opening in the dielectric layer for a via or trench. The sidewall passivating layer may be deposited on the sidewall surfaces, as well as the bottom surface of an opening having a first depth in the polymer dielectric layer. After the sidewall passivating layer is added, the depth of the opening may be increased to a second depth. The sidewall passivating layer provides a barrier to removal of the polymer dielectric from the sidewalls, preventing or reducing undercutting below a hard mask.
    Type: Grant
    Filed: March 4, 2003
    Date of Patent: February 13, 2007
    Assignee: Intel Corporation
    Inventor: Hyun-Mog Park
  • Patent number: 7176124
    Abstract: A method for fabricating an electronic device includes: a step of forming a first conductor to become a wiring or a wiring plug in a first insulating film; a step of forming a second insulating film on the first insulating film and the first conductor and, after that, forming a hole reaching the top face of the first conductor in the second insulating film; a step of forming a first barrier metal film on a bottom and side walls of the hole and on the second insulating film; a step of removing a portion formed on the bottom of the hole in the first barrier metal film to thereby expose the top face of the first conductor; a step of performing a plasma process using a reducing gas after the step of exposing the top face of the first conductor; and a step of forming a second conductor to become a wiring plug or a wiring by filling a conductive film in the hole after the step of performing the plasma process.
    Type: Grant
    Filed: August 24, 2004
    Date of Patent: February 13, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Susumu Matsumoto
  • Patent number: 7169698
    Abstract: The present invention provides a method of forming a rigid interconnect structure, and the device therefrom, including the steps of providing a lower metal wiring layer having first metal lines positioned within a lower low-k dielectric; depositing an upper low-k dielectric atop the lower metal wiring layer; etching at least one portion of the upper low-k dielectric to provide at least one via to the first metal lines; forming rigid dielectric sidewall spacers in at least one via of the upper low-k dielectric; and forming second metal lines in at least one portion of the upper low-k dielectric. The rigid dielectric sidewall spacers may comprise of SiCH, SiC, SiNH, SiN, or SiO2. Alternatively, the via region of the interconnect structure may be strengthened with a mechanically rigid dielectric comprising SiO2, SiCOH, or doped silicate glass.
    Type: Grant
    Filed: January 14, 2004
    Date of Patent: January 30, 2007
    Assignee: International Business Machines Corporation
    Inventors: Jeffrey P. Gambino, Anthony K. Stamper
  • Patent number: 7163894
    Abstract: In a semiconductor device, a wiring pattern groove is formed in a surface portion of a silicon oxide film provided above a semiconductor substrate. A wiring layer is buried into the wiring pattern groove with a barrier metal film interposed therebetween. The barrier metal film is selectively removed from each sidewall portion of the wiring pattern groove. In other words, the barrier metal film is left only on the bottom of the wiring pattern groove. Thus, a damascene wiring layer having a hollow section whose dielectric constant is low between each sidewall of the wiring pattern groove and each side of the wiring layer can be formed in the semiconductor device.
    Type: Grant
    Filed: August 27, 2004
    Date of Patent: January 16, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hiroyuki Nitta, Yoshiaki Fukuzumi, Yusuke Kohyama
  • Patent number: 7163891
    Abstract: A dynamic random access memory (DRAM) structure having a distance less than 0.14 um between the contacts to silicon and the gate conductor is disclosed. In addition a method for forming the structure is disclosed, which includes forming the DRAM array contacts and the contacts to silicon simultaneously.
    Type: Grant
    Filed: December 3, 2004
    Date of Patent: January 16, 2007
    Assignee: Infineon Technologies AG
    Inventors: Michael Maldei, Brian Cousineau, Guenter Gerstmeier, Jon S. Berry, II, Steven M. Baker, Jinhwan Lee
  • Patent number: 7163883
    Abstract: An edge seal around the periphery of an integrated circuit device which environmentally protects the copper circuitry from cracks that may form in the low-k interlevel dielectric during dicing. The edge seal essentially constitutes a dielectric wall between the copper circuitry and the low-k interlevel dielectric near the periphery of the integrated circuit device. The dielectric wall is of a different material than the low-k interlevel dielectric.
    Type: Grant
    Filed: October 27, 2003
    Date of Patent: January 16, 2007
    Assignee: International Business Machines Corporation
    Inventors: Birendra N. Agarwala, Hormazdyar Minocher Dalal, Eric G. Liniger, Diana Llera-Hurlburt, Du Binh Nguyen, Richard W. Procter, Hazara Singh Rathore, Chunyan E. Tian, Brett H. Engel
  • Patent number: 7157369
    Abstract: There is provided a method of manufacturing a semiconductor device that can reduce the number of processes, and decrease contact resistance between plugs. The method comprises forming a first interlayer dielectric film having a first opening where a contact plug is to be formed; uniformly forming a first conductive layer on the first interlayer dielectric film and in the first opening; forming a resist defining an interconnect pattern by a lithography process on a region excluding the first opening; performing first anisotropic etching to remove a region of the first conductive layer not covered with the resist until an upper face of the first interlayer dielectric film is exposed, thus to form an interconnect and the contact plug.
    Type: Grant
    Filed: June 29, 2004
    Date of Patent: January 2, 2007
    Assignee: NEC Electronics Corporation
    Inventor: Hirotoshi Sugimura
  • Patent number: 7153779
    Abstract: A plasma etch process for forming a high aspect ratio contact opening through a silicon oxide layer is disclosed. The silicon oxide layer is plasma etched using etch gases that include at least one organic fluorocarbon gas. At least one etch gas is used that includes one or more nitrogen-comprising gases to deposit a surface polymeric material during the etching for maintaining a masking layer over the silicon oxide layer. The method of the invention achieves a complete and anistropic etching of a contact opening having a high aspect ratio and the desired dimensions.
    Type: Grant
    Filed: March 5, 2003
    Date of Patent: December 26, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Shane J Trapp
  • Patent number: 7144808
    Abstract: The present invention provides, in one embodiment, method of forming a barrier layer 300 over a semiconductor substrate 110. The method comprises forming an opening 120 in an insulating layer 130 located over a substrate thereby uncovering an underlying copper layer 140. The method further comprises exposing the opening and the underlying copper layer to a plasma-free reducing atmosphere 200 in the presence of a thermal anneal. The also comprises depositing a barrier layer in the exposed opening and on the exposed underlying copper layer. Such methods and resulting conductive structures thereof may be advantageously used in methods to manufacture integrated circuits comprising copper interconnects.
    Type: Grant
    Filed: June 13, 2005
    Date of Patent: December 5, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Sanjeev Aggarwal, Kelly J. Taylor
  • Patent number: 7135402
    Abstract: A semiconductor method of manufacturing involving porous and/or carbon containing, low-k dielectrics is provided. The method includes forming a hydrocarbon of the general composition CxHy on the surface of the low-k dielectric. The hydrocarbon layer includes depositing a precursor material, preferably C2H4 or (CH3)2CHC6H6CH3. In accordance with embodiments of this invention, carbon diffuses into the low-k dielectric, thereby reducing carbon depletion damage caused by plasma processing or etching. Surface dielectric pores damaged by plasma processing are also repaired by sealing them with the CxHy layer. Embodiments include semiconductor devices, such as devices having damascene interconnect structures, manufacturing using methods provided.
    Type: Grant
    Filed: February 1, 2005
    Date of Patent: November 14, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Keng-Chu Lin, Shwang-Ming Cheng, Ming Ling Yeh, Tien-I Bao
  • Patent number: 7132363
    Abstract: Damascene processing is implemented with dielectric barrier films (50, 90, 91) for improved step coverage and reduced contact resistance. Embodiments include the use of two different dielectric films (50, 31) to avoid misalignment problems. Embodiments further include dual damascene (100A, 100B) processing using Cu metallization (100).
    Type: Grant
    Filed: March 27, 2001
    Date of Patent: November 7, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Kai Yang, Darrell M. Erb, Fei Wang
  • Patent number: 7132364
    Abstract: A method for forming a metal interconnect of a semiconductor device defined by a fine trench or via is disclosed. The method includes forming a first interconnect insulating layer on a substrate. A via hole is formed on a predetermined portion of the first interconnect insulating layer. A second interconnect insulating layer is formed on the first interconnect insulating layer. The second interconnect insulating layer is planarized. A hard mask layer is formed on the second interconnect insulating layer. The hard mask layer is patterned to remove selective portions. A trench is formed by etching the second interconnect insulating layer. A metal interconnect is formed in the trench.
    Type: Grant
    Filed: February 17, 2004
    Date of Patent: November 7, 2006
    Assignee: DongbuAnam Semiconductor Inc.
    Inventor: Ki Young Kim
  • Patent number: 7122463
    Abstract: When the occurrence of the bowing is controlled through the etching conditions, a change in etching conditions causes the bowing. Another problem is a requirement of the larger-sized apparatus for the substrate with a larger diameter in order to allow a whole substrate being subjected equally to the conditions under which no bowing occurs. In the present invention, a first etching is stopped at a depth where no bowing occurs to form an opening section. Next, a protective film for etching is formed on a region of the wall surface of the hole in the opening section where a bowing is liable to appear when an opening is formed further. After that, a second etching is carried out to form an opening further, and thereby a minute opening with an aspect ratio of 13 or higher is made, while suppressing the occurrence of the bowing well.
    Type: Grant
    Filed: April 29, 2004
    Date of Patent: October 17, 2006
    Assignee: Elpida Memory, Inc.
    Inventor: Masahiko Ohuchi
  • Patent number: 7119011
    Abstract: This semiconductor device includes a substrate 60 to be processed, a first insulation film 64 arranged at a designated position on the substrate 60 to have a via-hole 71a, an organic film 65 formed on the first insulation film 64 and a second insulation film 66 formed on the organic film 65. Both of the organic film 65 and the second insulation film 66 have a trench 71b in communication with the via-hole 71a, in common. Additionally, a manufacturing method of this semiconductor device includes the processes of forming the organic film 65 on the substrate 60 to be processed, forming a film having a designated pattern on the organic film 65 while exposing a part of the organic film 65, and removing the exposed part of the organic film 65 from the substrate 60 to expose a foundation layer of the organic film 65.
    Type: Grant
    Filed: May 14, 2004
    Date of Patent: October 10, 2006
    Assignee: Tokyo Electron Limited
    Inventors: Akitoshi Harada, Shin Okamoto, Koichiro Inazawa
  • Patent number: 7115214
    Abstract: First, a substrate having at least a conducting layer is provided. Then, a CVD process is performed to form the Ti/TiN barrier layer onto the conducting layer. An examination procedure is followed, and if particles are detected in the Ti/TiN barrier layer, then a rework procedure is performed to remove the Ti/TiN barrier layer and to reform a new Ti/TiN barrier layer.
    Type: Grant
    Filed: May 13, 2004
    Date of Patent: October 3, 2006
    Assignee: Powerchip Semiconductor Corp.
    Inventors: Ching-Hua Chen, Yi-Chung Cheng
  • Patent number: 7109080
    Abstract: A method of forming a contact for a semiconductor device by forming a storage node contact in a semiconductor substrate having a first pad and a second pad formed thereon. The storage node contact is connected to the second pad. A bit line electrically insulated from the storage node contact by a spacer and electrically connected to the first pad.
    Type: Grant
    Filed: January 12, 2005
    Date of Patent: September 19, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong-Woo Lee, Jong-Chul Park, O-Ik Kwon, Sang-Sup Jeong
  • Patent number: 7105437
    Abstract: Methods are provided for creating lined vias in semiconductor substrates. Using electrophoretic deposition techniques, micelles of a lining material are deposited on the wall of the via, reacting with the surface of the wall until the entire wall is covered by the lining material. The lining material is then fixed in place to form a layer lining the via. The lined via may then be filled with a desired material. For example, a via lined with an insulative material may be filled with a material such as copper to create an insulated conductive via through the substrate.
    Type: Grant
    Filed: November 4, 2005
    Date of Patent: September 12, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Warren M. Farnworth, Dale W. Collins, Steven M. McDonald
  • Patent number: 7094677
    Abstract: A method of forming a penetration electrode in which an electroconductive substance is inserted into a micropore that has one end blocked off only by wiring and a pad formed by an electroconductive substance without the wiring and pad being broken. In this method of forming a penetration electrode, an electroconductive substance is inserted into the micropore that penetrates a substrate and that has one aperture blocked off by an electroconductive thin film. After a protective member that holds the electroconductive thin film is provided on a surface on the electroconductive thin film side of the substrate, an electroconductive substance is inserted from the other aperture of the micropore.
    Type: Grant
    Filed: December 17, 2003
    Date of Patent: August 22, 2006
    Assignee: Fujikura Ltd.
    Inventors: Satoshi Yamamoto, Takashi Takizawa
  • Patent number: 7094689
    Abstract: Methods for fabricating interconnect structures implementing air gaps therein is provided. In one embodiment, a semiconductor substrate with a first barrier layer formed thereon is provided. A first dielectric layer is formed above the barrier layer. The first dielectric layer is thereafter patterned and etched to form a plurality of stakes having first openings therebetween, the plurality of stakes for providing mechanical supporting strength for the interconnect structure. A sacrificial layer is formed in the first openings and above the plurality of stakes. A hard mask layer is formed above the sacrificial layer. A light sensitive layer is formed over the hard mask layer and is thereafter patterned to define a pattern therein. The hard mask layer, the sacrificial layer, and the first barrier layer are etched according to the pattern in the light sensitive layer to form second openings. The second openings are filled with a conductive material to form metal lines.
    Type: Grant
    Filed: July 20, 2004
    Date of Patent: August 22, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yi-Nien Su, Jyu-Horng Shieh
  • Patent number: 7094672
    Abstract: A method for forming a self-aligned contact on a semiconductor substrate provided with a plurality of field-effect transistors. The method includes the steps of forming a first insulating layer that includes a nitride along a profile of a gate structure and a junction region, forming a temporary layer that has a doped oxide on the first insulting layer, removing a portion of the temporary layer by performing a selective etch of the oxide with a mask while leaving a plug portion of the temporary layer over the junction region, forming a second insulting layer that has an undoped oxide in a region where the portion of the temporary layer is removed, removing the plug portion by performing a selective etch of the undoped oxide to form a contact hole, removing a portion of the first insulating layer at a bottom of the contact hole, and forming a conductive contact ins the contact hole.
    Type: Grant
    Filed: September 15, 2004
    Date of Patent: August 22, 2006
    Assignee: Nanya Technology Corp.
    Inventors: Meng-Hung Chen, Shian-Jyh Lin, Chia-Sheng Yu
  • Patent number: 7091087
    Abstract: A flash memory comprising floating gate devices being connected to one-another through their source electrodes being self-aligned to their respective gate electrodes, a local tungsten interconnect making a substantially continuous connection to the sources.
    Type: Grant
    Filed: February 26, 2004
    Date of Patent: August 15, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Kelly T. Hurley
  • Patent number: 7078337
    Abstract: A process for etching a sacrificial layer of a structure. The structure is exposed to a plasma derived from nitrogen trifluoride for etching the sacrificial layer. The process is selective in that it etches titanium-nitride and titanium but does not affect adjacent silicon dioxide or aluminum layers. Applications of the process include the formation of integrated circuit structures and MEMS structures.
    Type: Grant
    Filed: September 30, 2003
    Date of Patent: July 18, 2006
    Assignee: Agere Systems Inc.
    Inventors: Timothy S. Campbell, Daniel P. Chesire, Kelly Hinckley, Gregory A. Head, Benu B. Patel
  • Patent number: 7078352
    Abstract: A method for the production of airgaps in a semiconductor device and device produced therefrom. The formation of airgaps is accomplished, in part, by chemically and/or mechanically changing the properties of a first dielectric layer locally, such that at least part of said first dielectric layer is converted locally and becomes etchable by a first etching substance. The local conversion of the dielectric material may be achieved during anisotropic etching of the material in oxygen containing plasma or ex-situ by performing an oxidizing step (e.g., a UV/ozone treatment or supercritical carbon dioxide with addition of an oxidizer). Formation of airgaps is achieved after creation of conductive lines and, alternatively, a barrier layer by a first etching substance. The airgaps are formed in a dual damascene structure, near the vias and/or the trenches of the damascene structure.
    Type: Grant
    Filed: September 30, 2004
    Date of Patent: July 18, 2006
    Assignees: Interuniversitair Microelektronica Centrum (IMEC vzw), Texas Instruments, Inc.
    Inventors: Gerald Beyer, Jean Paul Gueneau de Mussy, Karen Maex, Victor Sutcliffe
  • Patent number: 7074712
    Abstract: In a semiconductor device capable of reducing an electromigration occurring in multilevel interconnections of a high-speed integrated circuit and a method of manufacturing the same, a contact stud is composed of a first portion penetrating an intermetal insulating film and a second portion protruding above the intermetal insulating film. The second portion has vertical sidewalls that are extended vertically with respect to the main surface of the semiconductor substrate and an upper surface that is extended parallel to the main surface. The vertical sidewalls and upper surface are entirely covered with the second metal interconnection layer. Also, in the method of fabricating a semiconductor device including multilevel interconnections, a hard mask pattern is formed on an intermetal insulating film. Then, a via hole is formed to penetrate the intermetal insulating film by etching a portion of the exposed intermetal insulating film.
    Type: Grant
    Filed: July 29, 2004
    Date of Patent: July 11, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Tai-heui Cho
  • Patent number: 7074707
    Abstract: A connection device includes a plurality of re-configurable vias that connect a first metal layer to a second metal layer. An actuating element is disposed between the first metal layer and the second metal layer. The actuating element changes the configuration of the plurality of re-configurable vias to change the plurality of re-configurable vias between a conductive state and a non-conductive state.
    Type: Grant
    Filed: September 15, 2003
    Date of Patent: July 11, 2006
    Assignee: International Business Machines Corporation
    Inventors: David J. Frank, Kathryn W. Guarini, Christopher B. Murray, Xinlin Wang, Hon-Sum Philip Wong
  • Patent number: 7074717
    Abstract: A method and apparatus for providing a conductive structure adjacent to a damascene conductive structure in a semiconductor device structure. The semiconductor device structure includes an insulation layer with at least one damascene conductive structure formed therein, wherein the at least one damascene conductive structure includes an insulative, protective layer disposed thereon. The insulative material of the protective layer is able to resist removal by at least some suitable etchants for the insulative material of the insulation layer adjacent to the at least one damascene conductive structure. A self-aligned opening is formed by removing a portion of an insulation layer adjacent the at least one damascene conductive structure. The self-aligned opening is then filled with a conductive material to thereby provide another conductive structure adjacent to the at least one damascene conductive structure.
    Type: Grant
    Filed: March 4, 2003
    Date of Patent: July 11, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Howard E. Rhodes
  • Patent number: 7074718
    Abstract: According to embodiments of the invention, a bit line interlayer insulating layer is placed over a semiconductor substrate. Two adjacent bit line patterns are placed in parallel on the bit line interlayer insulating layer and each of the two adjacent bit line patterns includes a bit line and a bit line capping layer pattern stacked thereon. A buried contact interlayer insulating layer covers a surface of the semiconductor substrate having the two adjacent bit line patterns. A contact hole is placed in a portion between the bit line patterns to penetrate the buried contact interlayer insulating layer and the bit line interlayer insulating layer and to expose at least one side wall of the bit line patterns. A contact hole spacer covers side wall of the contact hole. A contact hole plug is placed on the contact hole spacer to fill the contact hole.
    Type: Grant
    Filed: July 22, 2004
    Date of Patent: July 11, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seong-Goo Kim, Sang-Moo Jeong
  • Patent number: 7071055
    Abstract: This invention relates to contact structures for use in integrated circuits and methods of fabricating contact structures. In one embodiment, a contact structure includes a conductive layer, one or more barrier layers formed above the conductive layer, and a barrier structure encircling the polysilicon layer and the one or more barrier layers. In an alternate embodiment, a contact structure is fabricated by forming a polysilicon layer on a substrate, forming a tungsten nitride layer above the polysilicon layer, and etching the polysilicon layer and the tungsten nitride layer to a level below the surface of a substrate structure. A silicon nitride layer is formed above the tungsten nitride layer, and a ruthenium silicide layer is formed above the silicon nitride layer. The ruthenium silicide layer is then polished.
    Type: Grant
    Filed: January 7, 2004
    Date of Patent: July 4, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Fred Fishburn
  • Patent number: 7064061
    Abstract: The process includes depositing a filling material in trenches formed in at least one layer of dielectric so as to fill open pores in the dielectric. The filling material is intended to prevent the subsequent diffusion of the interconnect metal and/or of a metal of a diffusion barrier, and may be non-porous. The filling material preferably has a low dielectric constant.
    Type: Grant
    Filed: January 17, 2002
    Date of Patent: June 20, 2006
    Assignees: STMicroelectronics SA, Commissariat a l'Energie Atomique
    Inventors: Gérard Passemard, Emmanuel Sicurani, Charles Lecornec
  • Patent number: 7060618
    Abstract: The present invention relates to a semiconductor device and a method for manufacturing the same. The semiconductor device has an embedded interconnect structure in which an electric conductor, such as copper or silver, is embedded in fine recesses formed in a surface of a semiconductor substrate, and also has a protective film formed on surfaces of exposed interconnects that define the interconnect structure, to protect the interconnects. The protective film has a flattened surface.
    Type: Grant
    Filed: August 13, 2002
    Date of Patent: June 13, 2006
    Assignee: Ebara Corporation
    Inventors: Hiroaki Inoue, Norio Kimura, Xinming Wang, Moriji Matsumoto, Makoto Kanayama
  • Patent number: 7057286
    Abstract: A method for manufacturing a multi-level interconnection structure in a semiconductor device includes the steps of consecutively forming an anti-diffusion film and an interlevel dielectric film on a first level Cu layer, forming first through third hard mask films on the interlevel dielectric film, etching the interlevel dielectric film by using the first hard mask to form first through-holes, etching the first and second hard mask films and a top portion of the interlevel dielectric film by using the third hard mask film to form trenches, and etching the anti-diffusion film to form through-holes. The first hard mask film protects the interlevel dielectric film during removal of the second and third hard mask films.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: June 6, 2006
    Assignee: NEC Electronics Corporation
    Inventor: Tatsuya Usami
  • Patent number: 7056828
    Abstract: In one embodiment, adjacent conductive patterns are formed overlying a semiconductor substrate. The conductive patterns each have a conductive line and a capping layer. A first spacer formation layer is formed between the adjacent conductive patterns. The first spacer formation layer is formed between the top surface of the capping layer and the bottom surface of the conductive line. A conformal second spacer formation layer is formed on the conductive patterns. A first interlayer insulating layer is formed on the conformal second spacer formation layer. Next, an opening is formed to extend to a portion of the first spacer formation layer, in the first interlayer insulating layer. The portion of the first spacer formation layer is etched, using the second spacer formation layer as an etch mask, to form a single-layer spacer on sidewalls of the conductive patterns, concurrently with a contact hole.
    Type: Grant
    Filed: March 31, 2003
    Date of Patent: June 6, 2006
    Assignee: Samsung Electronics Co., Ltd
    Inventors: Tae-Young Chung, Jae-Goo Lee, Dong-Jun Lee
  • Patent number: 7037851
    Abstract: Method for the production of airgaps in a semiconductor device, the semiconductor device comprising a stack of layers, the stack of layers comprising at least one iteration of a sub-stack of layers.
    Type: Grant
    Filed: September 30, 2004
    Date of Patent: May 2, 2006
    Assignee: Interuniversitair Microelektronica Centrum (IMEC vzw)
    Inventors: Jean Paul Gueneau de Mussy, Gerald Beyer, Karen Maex
  • Patent number: 7033934
    Abstract: A semiconductor package of superior high frequency characteristics enabling easy mounting of a large-sized capacitor and thereby enabling fluctuation of the power supply voltage to be suppressed and enabling a reduction of the inductance of the wiring portion connecting the capacitor and a connection terminal, that is, a semiconductor package mounting a capacitor for suppressing fluctuation of a power supply voltage, wherein the capacitor is comprised of, in an attachment hole passing through the board in the thickness direction, a conductor wire to be connected to a connection terminal of a semiconductor chip at one end, a high dielectric constant material covering the conductor wire at a predetermined thickness, and a conductor layer arranged between the outer circumference of the high dielectric constant material and the inner wall of the attachment hole, provided as a coaxial structure having the conductor wire at its center, and a method of production of the same.
    Type: Grant
    Filed: October 24, 2003
    Date of Patent: April 25, 2006
    Assignee: Shinko Electric Industries Co., Ltd.
    Inventors: Takahiro Iijima, Akio Rokugawa
  • Patent number: 7033935
    Abstract: The invention simplifies the manufacturing processes and increases the yield. A semiconductor wafer equipped with a plurality of semiconductor chip forming sections is prepared. An electrical characteristic examination is conducted for each of the semiconductor chip forming sections to determine good product sections or bad product sections. At least another segmented semiconductor chip is electrically connected to each of the semiconductor chip forming sections that are determined to be good product sections.
    Type: Grant
    Filed: November 26, 2003
    Date of Patent: April 25, 2006
    Assignee: Seiko Epson Corporation
    Inventor: Kenji Wada
  • Patent number: 7030010
    Abstract: Methods for creating lined vias in semiconductor substrates. Using electrophoretic deposition techniques, micelles of a lining material are deposited on the wall of the via, reacting with the surface of the wall until the entire wall is covered by the lining material. The lining material is then fixed in place to form a layer lining the via. The lined via may then be filled with a desired material. For example, a via lined with an insulative material may be filled with a material such as copper to create an insulated conductive via through the substrate.
    Type: Grant
    Filed: August 29, 2002
    Date of Patent: April 18, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Warren M. Farnworth, Dale W. Collins, Steven M. McDonald
  • Patent number: 7030011
    Abstract: A method for avoiding short circuits between conductive wires. The method includes providing a substrate having a contact area, forming a first opening in the substrate to expose the contact area, filling the first opening with a first conductive material to form a first conductive layer, removing a portion of the first conductive layer to form a second opening, in order to expose a sidewall of the substrate, forming a spacer on the sidewall, depositing a poly-silicon layer over the substrate to fill the second opening to form a second conductive layer, etching back the poly-silicon layer to expose a portion of the spacer, forming a patterned dielectric layer over the substrate to define a wire opening in order to expose the second conductive layer, and filling the wire opening with a third conductive material to form a wire electrically connected with the second conductive layer.
    Type: Grant
    Filed: December 19, 2003
    Date of Patent: April 18, 2006
    Assignee: Nanya Technology Corporation
    Inventors: Kuo-Chien Wu, Ping Hsu
  • Patent number: 7026238
    Abstract: Embodiments of the present invention provide a process sequence and related hardware for filling a patterned feature on a substrate with a metal, such as copper. The sequence comprises first forming a reliable barrier layer in the patterned feature to prevent diffusion of the metal into the dielectric layer through which the patterned feature is formed. One sequence comprises forming a generally conformal barrier layer over a patterned dielectric, etching the barrier layer at the bottom of the patterned feature, depositing a second barrier layer, and then filling the patterned feature with a metal, such as copper.
    Type: Grant
    Filed: January 17, 2002
    Date of Patent: April 11, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Ming Xi, Paul Frederick Smith, Ling Chen, Michael X. Yang, Mei Chang, Fusen Chen, Christophe Marcadal, Jenny C. Lin
  • Patent number: 7022609
    Abstract: A manufacturing method of a semiconductor substrate provided with a through hole electrode is proposed. In accordance with the methods, it is possible to effectively form a through hole electrode in a semiconductor substrate in which a device and a wiring pattern have been already fabricated. This manufacturing method includes the steps of forming a first silicon oxide film 12 on a principal surface of the semiconductor substrate 11, forming a small hole 13 through the semiconductor substrate 11 from the opposite the step to reach to the first silicon oxide film 12, covering the inside of the small hole 13 with the second silicon oxide film 14, forming a first thin metal film 15 and a second thin metal film 16 on the first silicon oxide film 12, partially removing the first silicon oxide film 12 corresponding to the end of the small hole 13, and filling the small hole 13 with the conductive material to form a through hole electrode 17.
    Type: Grant
    Filed: August 25, 2003
    Date of Patent: April 4, 2006
    Assignees: Fujikura Ltd., Olympus Optical Co., Ltd.
    Inventors: Satoshi Yamamoto, Takashi Takizawa, Tatsuo Suemasu, Masahiro Katashiro, Hiroshi Miyajima, Kazuya Matsumoto, Toshihiko Isokawa