Including Heat Treatment Of Conductive Layer Patents (Class 438/660)
  • Patent number: 7037836
    Abstract: A semiconductor device which effectively reduces copper oxide layers on copper conductive lines is disclosed. The method includes forming a first insulating layer on a semiconductor substrate; forming a first conductive line by depositing a conductive material on the first insulating layer and selectively patterning the conductive material. A second insulating layer is deposited on top of the substrate including on the first conductive line. A via hole is formed by selectively patterning the second insulating layer to expose a certain portion of the first conductive line. A natural oxide layer is removed by plasma-processing the natural oxide layer using H2+CO gas.
    Type: Grant
    Filed: December 12, 2003
    Date of Patent: May 2, 2006
    Assignee: DongbuAnam Semiconductor Inc.
    Inventor: Han Choon Lee
  • Patent number: 7033951
    Abstract: A process for forming a pattern contains steps of: forming a first mask pattern on a film to be etched on a substrate; forming a first pattern of the film to be etched by using the first mask pattern as a mask; forming a second mask pattern having a plane shape different from that of the first mask pattern by deforming the first mask pattern; and forming a second pattern of the film to be etched different from the first pattern by using the second mask pattern. By applying the process for forming a pattern, for example, to the formation of a semiconductor layer and source and drain electrodes of a TFT substrate of a liquid crystal display apparatus, the above-stated formation requiring two photoresist process steps in a conventional manufacturing method of a liquid crystal display apparatus can be carried out by only one process step, thereby reducing manufacturing cost thereof.
    Type: Grant
    Filed: February 15, 2002
    Date of Patent: April 25, 2006
    Assignee: NEC LCD Technologies, Ltd.
    Inventor: Shusaku Kido
  • Patent number: 7033928
    Abstract: A method of fabricating a semiconductor device, including at least the steps of (a) forming a via-hole or trench throughout an electrically insulating layer, (b) forming a wiring material layer on the electrically insulating layer such that the via-hole or trench is filled with the wiring material layer, (c) annealing the wiring material layer, (d) cooling the wiring material layer down to a temperature equal to or lower than a predetermined temperature, and (e) applying chemical mechanical polishing (CMP) to the wiring material layer such that the wiring material layer exists only in the via-hole or trench. The step (c) is carried out prior to the step (e), and the step (d) is carried out after the step (c).
    Type: Grant
    Filed: November 30, 2004
    Date of Patent: April 25, 2006
    Assignee: NEC Electronics Corporation
    Inventor: Masaya Kawano
  • Patent number: 7033930
    Abstract: Processes for fabricating a semiconductor device are described herein. In one aspect of the invention, an exemplary process includes forming an interface layer overlying the device substrate, forming a silver layer overlying the interface layer, annealing the substrate to form an intermetallic layer between the silver layer and the interface layer, the silver layer is in intimate contact with the intermetallic layer, and forming a protection layer overlying the silver layer. Other interconnect structures and processes are also described.
    Type: Grant
    Filed: August 26, 2003
    Date of Patent: April 25, 2006
    Assignee: Intel Corporation
    Inventors: Michael Kozhukh, Oleg Rashkovskiy
  • Patent number: 7026231
    Abstract: There is provided a method of producing an organic semiconductor device by which an organic semiconductor device having an optional configuration can easily be produced. A method of producing an organic semiconductor device comprising a gate insulating layer, a gate electrode, a source electrode, a drain electrode, and an organic semiconductor layer is provided which comprises the steps of: 1) forming a monomer layer of a conductive polymer precursor; 2) maintaining the monomer layer at a given temperature; and 3) applying an oxidizing agent solution to a desired location of the monomer layer to obtain a polymer layer of a desired conductivity.
    Type: Grant
    Filed: February 4, 2003
    Date of Patent: April 11, 2006
    Assignee: Canon Kabushiki Kaisha
    Inventors: Makoto Kubota, Motokazu Kobayashi
  • Patent number: 7001841
    Abstract: After a thin first conductive film is formed on a barrier film having a crystal structure, a second conductive film is formed on the first conductive film. Thereafter, the first conductive film and the second conductive film are heated such that the first and second conductive films are integrated to form a third conductive film.
    Type: Grant
    Filed: August 20, 2003
    Date of Patent: February 21, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Shuji Hirao, Takeshi Harada, Kazushi Nii, Takenobu Kishida, Atsushi Ikeda, Kazunori Tsuji
  • Patent number: 7001842
    Abstract: Methods for fabricating a semiconductor device with salicide are disclosed. One example method includes forming a gate electrode structure having a gate oxide film, a gate electrode, and a protection film stacked on a substrate in succession, and gate spacers on sidewalls of the stack of the gate oxide film, the gate electrode, and the protection film; forming an insulating film on an entire surface of the substrate, the insulating film exposing upper portions of the gate electrode and the gate spacers; and removing portions of the protection film and the gate spacers, to expose an upper portion of the gate electrode. The example method may also include applying a salicide forming metal on an entire surface of the substrate; and performing a heat treatment process to form salicide on the gate electrode and the gate spacers, selectively.
    Type: Grant
    Filed: December 13, 2004
    Date of Patent: February 21, 2006
    Assignee: DongbuAnam Semiconductor, Inc.
    Inventor: Yeong Sil Kim
  • Patent number: 6998325
    Abstract: An insulating-film composition containing an insulating-film precursor and a pore-generating material is applied onto a surface of a semiconductor substrate, and a first heat treatment is performed to polymerize the insulating-film precursor without vaporizing the pore-generating material, to form a non-porous insulating film. Next, a resist pattern is formed on the non-porous insulating film, and dry etching is performed, using the resist pattern as a mask, to form a trench in the non-porous insulating film. After removing the resist pattern by ashing, the surface of the semiconductor substrate is cleaned. Next, a second heat treatment is performed to remove the pore-generating material from the non-porous insulating film and to form a porous insulating film. Thereafter, a copper layer is deposited in the trench on a barrier-metal film to form copper wiring.
    Type: Grant
    Filed: November 4, 2004
    Date of Patent: February 14, 2006
    Assignee: Renesas Technology Corp.
    Inventors: Takashi Yunogami, Kaori Misawa
  • Patent number: 6998337
    Abstract: Semiconductor devices with highly reliable Cu interconnects exhibiting reduced resistance are formed by sequentially depositing a seed layer by PVD, depositing a conformal seed layer enhancement film by electroplating, and then thermal annealing the seed layer enhancement film in an inert or reducing atmosphere to expel impurities, enhance film conductivity, reduce film stress, increase film density, and reduce film roughness. Embodiments include single and dual Cu damascene techniques formed in dielectric layers having a dielectric constant no greater than about 3.9.
    Type: Grant
    Filed: December 8, 2003
    Date of Patent: February 14, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Minh Q. Tran
  • Patent number: 6984575
    Abstract: Disclosed is a fabrication process of a highly reliable semiconductor device formed by stacking and pattering a polycrystalline silicon film, a tungsten nitride film and a tungsten film over a gate insulator film on a semiconductor substrate, thereby forming gate electrodes. Then, a conductive plasma processing is performed using an ammonia gas at a temperature for the semiconductor substrate of 500° C. or lower, thereby nitriding the side wall for the gate electrode to form a nitride film, and then conducting plasma processing by using an oxygen gas in a state at a temperature for the semiconductor substrate of 500° C. or lower thereby restoring damages or defects in the silicon oxide film present in the surface portion of the semiconductor substrate at the periphery of the gate electrode.
    Type: Grant
    Filed: November 21, 2003
    Date of Patent: January 10, 2006
    Assignee: Renesas Technology Corp.
    Inventor: Naoki Yamamoto
  • Patent number: 6979642
    Abstract: A method of forming a conductive structure such as a copper conductive structure, line, or via is optimized for large grain growth and distribution of alloy elements. The alloy elements can reduce electromigration problems associated with the conductive structure. The conductive structure is self-annealed or first annealed in a low temperature process over a longer period of time. Another anneal is utilized to distribute alloy elements.
    Type: Grant
    Filed: July 28, 2003
    Date of Patent: December 27, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Matthew S. Buynoski, Connie Pin-Chin Wang, Paul R. Besser, Minh Q. Tran
  • Patent number: 6977219
    Abstract: The present invention relates to the reduction of critical dimensions and the reduction of feature sizes in manufacturing integrated circuits. Specifically, the method controls photoresist flow rates to develop critical dimensions beyond the resolution limits of the photoresist material used, and the limits of lithographic tool sets. The post exposure and developed resist pattern is exposed to a solvent prior to a bake or reflow process. Exposure to the solvent lowers the molecular weight of the resist material, modifying the resist material's reflow rate. The post-exposure resist is then easier to control during a subsequent reflow process to reduce the hole or line size of the patterned resist.
    Type: Grant
    Filed: December 30, 2003
    Date of Patent: December 20, 2005
    Assignee: Intel Corporation
    Inventors: Rex K. Frost, Swaminathan Sivakumar
  • Patent number: 6977217
    Abstract: In one embodiment, a via structure includes a liner, a barrier layer over the liner, and an aluminum layer over the barrier layer. The barrier layer helps minimize reaction between the aluminum layer and the liner, thus helping minimize void formation in the via. The liner and the barrier layer may be deposited in-situ by ionized metal plasma (IMP) physical vapor deposition (PVD). In one embodiment, the liner comprises titanium, while the barrier layer comprises titanium nitride.
    Type: Grant
    Filed: December 3, 2002
    Date of Patent: December 20, 2005
    Assignee: Cypress Semiconductor Corporation
    Inventors: Mira Ben-Tzur, Gorley L. Lau, Ivan P. Ivanov, Feng Dai, Chan-Lon Yang
  • Patent number: 6977220
    Abstract: Formation of copper alloy interconnect lines on integrated circuits includes introducing dopant elements into a copper layer. Copper alloy interconnect lines may be formed by providing a doping layer over a copper layer, driving dopant material into the copper layer with a high temperature step, and polishing the copper layer to form individual lines. Copper alloy interconnect lines may be formed by implanting dopants into individual lines. Copper alloy interconnect lines may be formed by providing a doped seed layer with a capping layer to prevent premature oxidation, forming an overlying copper layer, driving in the dopants, and polishing to form individual lines.
    Type: Grant
    Filed: June 2, 2004
    Date of Patent: December 20, 2005
    Assignee: Intel Corporation
    Inventors: Thomas N. Marieb, Paul McGregor, Carolyn Block, Shu Jin
  • Patent number: 6977221
    Abstract: The invention includes a method of forming a crystalline phase material which includes providing a stress inducing material within or operatively adjacent a crystalline material of a first crystalline phase and annealing the crystalline material of the first crystalline phase to transform it to a second crystalline phase. The stress inducing material induces compressive stress within the first crystalline phase during the anneal to produce a more dense second crystalline phase. Example compressive stress inducing layers include SiO2 and Si3N4, while example stress inducing materials for providing into layers are Ge, W and Co. Example and preferred crystalline phase materials having two phases are refractory metal silicides, such as TiSix. The invention additionally includes incorporating the crystalline phase material into a conductive line.
    Type: Grant
    Filed: July 20, 2004
    Date of Patent: December 20, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Sujit Sharan
  • Patent number: 6967155
    Abstract: A new method and structure is provided for the creation of a copper dual damascene interconnect. A dual damascene structure is created in the layer of dielectric, optionally a metal barrier layer is deposited over exposed surfaces of the dual damascene structure. A copper seed layer is deposited, the dual damascene structure is filled with copper. An anneal is applied to the created copper interconnect after which excess copper is removed from the dielectric. Of critical importance to the invention, a thin layer of oxide is then deposited as a cap layer over the copper dual damascene interconnect, an etch stop layer is then deposited over the thin layer of oxide for continued upper-level metallization.
    Type: Grant
    Filed: July 11, 2003
    Date of Patent: November 22, 2005
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jing Cheng Lin, Ching-Hua Hsieh, Shau-Lin Shue, Mong-Song Liang
  • Patent number: 6967162
    Abstract: A semiconductor chip having an exposed metal terminating pad thereover, and a separate substrate having a corresponding exposed metal bump thereover are provided. A conducting polymer plug is formed over the exposed metal terminating pad. A conforming interface layer is formed over the conducting polymer plug. The conducting polymer plug of the semiconductor chip is aligned with the corresponding metal bump. The conforming interface layer over the conducting polymer plug is mated with the corresponding metal bump. The conforming interface layer is thermally decomposed, adhering and permanently attaching the conducting polymer plug with the corresponding metal bump. Methods of forming and patterning a nickel carbonyl layer are also disclosed.
    Type: Grant
    Filed: November 15, 2004
    Date of Patent: November 22, 2005
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Simon Chooi, Yakub Aliyu, Mei Sheng Zhou, John Sudijono, Subhash Gupta, Sudipto Roy, Paul Ho, Yi Xu
  • Patent number: 6955925
    Abstract: A method and apparatus for annealing an integrated ferroelectric device (10) is disclosed in which the device (10) comprises a first layer of material capable of existing in a ferroelectric state and a second layer of material defining an integrated circuit below the first layer such as a microbridge thermal detector. The method comprises producing a pulse of energy, extending the pulse temporally using a pulse extender (200) and illuminating the first layer with the extended pulse. The duration and wavelength and fluence of the extended pulse are selected so that the material of the first layer is annealed into a ferroelectric state without exceeding the temperature budget of the integrated circuit. Application of the method in heating other articles which comprise a layer to be heated and a temperature sensitive layer is also disclosed.
    Type: Grant
    Filed: March 3, 2000
    Date of Patent: October 18, 2005
    Assignee: QinetiQ Limited
    Inventors: Paul P Donohue, Michael A. Todd
  • Patent number: 6953755
    Abstract: By preparing fully-embedded interconnect structure samples for a cross-section analysis by means of electron microscopy or x-ray microscopy, degradation mechanisms may be efficiently monitored. Moreover, displaying some of the measurement results as a quick motion representation enables the detection of subtle changes of characteristics of an interconnect structure in a highly efficient manner.
    Type: Grant
    Filed: October 2, 2003
    Date of Patent: October 11, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Moritz Andreas Meyer, Ehrenfried Zschech, Eckhard Langer
  • Patent number: 6951815
    Abstract: After carrying an LCD substrate in a reaction container of a heat treatment unit, blowing a previously heated helium gas from a gas supply part, which opposes to the surface of the LCD substrate, over the entire surface of the LCD substrate. The temperature of the LCD substrate is raised by radiation heat of a heater and heat exchange with the helium gas. After performing CVD or annealing in the reaction container, cooling the LCD substrate by blowing a gas for heat exchange having a temperature about a room temperature from the gas supply part over the entire surface of the LCD substrate. Return the cooled LCD substrate to a carrier in the carrier chamber via a conveyance chamber.
    Type: Grant
    Filed: January 24, 2002
    Date of Patent: October 4, 2005
    Assignee: Tokyo Electron Limited
    Inventor: Takaaki Matsuoka
  • Patent number: 6951804
    Abstract: A method of forming a tantalum-nitride layer (204) for integrated circuit fabrication is disclosed. Alternating or co-reacting pulses of a tantalum containing precursor and a nitrogen containing precursor are provided to a chamber (100) to form layers (305, 307) of tantalum and nitrogen. The nitrogen precursor may be a plasma gas source. The resultant tantalum-nitride layer (204) may be used, for example, as a barrier layer. As barrier layers may be used with metal interconnect structures (206), at least one plasma anneal on the tantalum-nitride layer may be performed to reduce its resistivity and to improve film property.
    Type: Grant
    Filed: February 2, 2001
    Date of Patent: October 4, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Sean M. Seutter, Michael X. Yang, Ming Xi
  • Patent number: 6949475
    Abstract: Semiconductor devices and methods of fabricating semiconductor devices are disclosed. A disclosed semiconductor device comprises: a semiconductor substrate; an uppermost metal interconnect formed on the semiconductor substrate; an oxide layer formed on the substrate and the uppermost metal interconnect; an aluminum layer formed on the oxide layer; and a stress-relief layer formed on the aluminum layer to thereby prevent cracking of the passivation layer during a subsequent packaging process, to increase reliability of the passivation layer, and to prevent degradation of properties of the semiconductor device.
    Type: Grant
    Filed: October 27, 2003
    Date of Patent: September 27, 2005
    Assignee: DongbuAnam Semiconductor, Inc.
    Inventor: Jae Suk Lee
  • Patent number: 6948238
    Abstract: In the forming of copper interconnects for an integrated circuit, a method for dissociating copper oxides from copper surfaces is provided. An antireflective coating layer is formed over an insulating layer formed over a semiconductor substrate. An interconnect pattern is patterned and etched into said insulating layer. A diffusion barrier layer is then conformally deposited in a deposition chamber along the etched interconnect pattern, wherein the antireflective coating is removed in said chamber before deposition of the barrier layer. Copper interconnects are formed in the interconnect pattern etched in the insulating layer. A supercritical fluid is then provided on the insulating layer. The supercritical fluid is then treated to dissociate the copper oxides from the copper surfaces.
    Type: Grant
    Filed: January 26, 2004
    Date of Patent: September 27, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Ai-Sen Liu
  • Patent number: 6943058
    Abstract: A no-flow underfill material and process suitable for underfilling a bumped circuit component. The underfill material initially comprises a dielectric polymer material in which is dispersed a precursor capable of reacting to form an inorganic filler. The underfill process generally entails dispensing the underfill material over terminals on a substrate, and then placing the component on the substrate so that the underfill material is penetrated by the bumps on the component and the bumps contact the terminals on the substrate. The bumps are then reflowed to form solid electrical interconnects that are encapsulated by the resulting underfill layer. The precursor may be reacted to form the inorganic filler either during or after reflow.
    Type: Grant
    Filed: March 18, 2003
    Date of Patent: September 13, 2005
    Assignee: Delphi Technologies, Inc.
    Inventors: Arun K. Chaudhuri, Derek B. Workman, Frank Stepniak, Matthew R. Walsh
  • Patent number: 6939802
    Abstract: A semiconductor device having stable device characteristics, in which variation in contact resistance between silicon and poly-silicon or between poly-silicon and poly-silicon is reduced. In a cleaning process before forming an upper layer poly-silicon film, a treatment is conducted to form a thin uniform oxide film on the surface of silicon. After forming the upper layer poly-silicon film 11, a removed portion is uniformly formed on the thin uniform oxide film by applying a short time, high temperature annealing treatment.
    Type: Grant
    Filed: August 29, 2003
    Date of Patent: September 6, 2005
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Masaaki Ikegami
  • Patent number: 6933230
    Abstract: The inventor devised methods of forming interconnects that result in conductive structures with fewer voids and thus reduced electrical resistance. One embodiment of the method starts with an insulative layer having holes and trenches, fills the holes using a selective electroless deposition, and fills the trenches using a blanket deposition. Another embodiment of this method adds an anti-bonding material, such as a surfactant, to the metal before the electroless deposition, and removes at least some the surfactant after the deposition to form a gap between the deposited metal and interior sidewalls of the holes and trenches. The gap serves as a diffusion barrier. Another embodiments leaves the surfactant in place to serve as a diffusion barrier. These and other embodiments ultimately facilitate the speed, efficiency, or fabrication of integrated circuits.
    Type: Grant
    Filed: March 8, 2002
    Date of Patent: August 23, 2005
    Assignee: Intel Corporation
    Inventor: Valery Dubin
  • Patent number: 6933221
    Abstract: An underfill material for attaching and underfilling a semiconductor component on a substrate includes a polymer base material, and electrically conductive particles in the polymer base material. The particles are configured to melt and rigidify bonded electrical connections between solder terminal contacts on the component and substrate contacts on the substrate. A size and concentration of the particles is selected to prevent electrical conductivity in X and Y directions. A method for attaching and underfilling the component on the substrate includes the steps of depositing the underfill material on the substrate or the component, placing the terminal contacts in contact with the substrate contacts while the underfill material is in a viscous or B-stage condition, bonding the terminal contacts to the substrate contacts to form the connections, and then curing the underfill material to form an underfill layer.
    Type: Grant
    Filed: June 24, 2002
    Date of Patent: August 23, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Tongbi Jiang
  • Patent number: 6930041
    Abstract: The present invention provides a processing system comprising a remote plasma activation region for formation of active gas species, a transparent transfer tube coupled between the remote activation region and a semiconductor processing chamber, and a source of photo-energy for maintaining activation of the active species or providing photo-energy for a non-plasma species during transfer through the transparent tube to the processing chamber. The source of photo-energy preferably includes an array of UV lamps. Additional UV lamps may also be used to further sustain active species and assist processes by providing additional in-situ energy through a transparent window of the processing chamber. The system can be utilized for processes such as layer-by-layer annealing and deposition and also removal of contaminants from deposited layers.
    Type: Grant
    Filed: May 12, 2003
    Date of Patent: August 16, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Vishnu K. Agarwal
  • Patent number: 6930045
    Abstract: The method comprises the step of forming a diffusion preventing film 16 and an insulation film 18 on an insulation film 12 formed on a substrate 10 and having a Cu interconnection layer 14 buried in; the step of forming a contact hole 20 in the insulation film 18 down to the Cu interconnection layer 14; the step of cleaning the substrate 10 with the contact hole 20 formed with a chemical liquid; the step of drying the substrate 10 cleaned with the chemical liquid; and the step of annealing the dried substrate in a reducing atmosphere.
    Type: Grant
    Filed: August 6, 2003
    Date of Patent: August 16, 2005
    Assignee: Fujitsu Limited
    Inventor: Masanobu Ikeda
  • Patent number: 6930043
    Abstract: Disclosed is a method for forming bit line and bit line contact structure. Based on a semi-finished structure with a poly plug filled in a contact window, the method of the Invention comprises steps of removing some of the oxide layer so that the plug protrudes, oxidizing the exposed region of the protruding portion of the plug, removing the oxidized portion of the plug, forming a first dielectric layer to the upper surface of the resultant structure, wherein the upper surface of the plug is exposed, forming a second dielectric layer to the upper surface of the first dielectric layer including the upper surface of the plug, forming photoresist on the second dielectric layer, then performing exposing, developing and etching to form a trench of a predetermined pattern, and filling metal into the trench to form a bit line.
    Type: Grant
    Filed: July 29, 2003
    Date of Patent: August 16, 2005
    Assignee: Nanya Technology Corp.
    Inventors: Kuo-Chien Wu, Shih-Fan Kuan
  • Patent number: 6927121
    Abstract: A method for manufacturing an FeRAM capacitor is employed to enhance an adhesive property between a dielectric layer and a first bottom electrode of iridium. The method including the steps of: preparing an active matrix including a semiconductor substrate, a transistor, a bit line, a first ILD, a second ILD and a storage node; forming a first bottom electrode on the second ILD and the storage node; forming a third ILD on exposed surfaces of the first bottom electrode and the second ILD; planarizing the third ILD till a top face of the first bottom electrode is exposed; forming a second bottom electrode on the top face of the bottom electrode; forming conductive oxides on exposed sidewalls of the first bottom electrode by carrying out an oxidation process; forming a dielectric layer on exposed surfaces of the first bottom electrodes, the second bottom electrode and the second ILD; and forming a top electrode on the dielectric layer.
    Type: Grant
    Filed: December 8, 2003
    Date of Patent: August 9, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sang-Hyun Oh, Young-Ho Yang, Kye-Nam Lee, Suk-Kyoung Hong
  • Patent number: 6924188
    Abstract: In a semiconductor device including a first conductive layer, the first conductive layer is treated with a nitrogen/hydrogen plasma before an additional layer is deposited thereover. The treatment stuffs the surface with nitrogen, thereby preventing oxygen from being adsorbed onto the surface of the first conductive layer. In one embodiment, a second conductive layer is deposited onto the first conductive layer, and the plasma treatment lessens if not eliminates an oxide formed between the two layers as a result of subsequent thermal treatments. In another embodiment, a dielectric layer is deposited onto the first conductive layer, and the plasma treatment lessens if not eliminates the ability of the first conductive layer to incorporate oxygen from the dielectric.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: August 2, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Vishnu K. Agarwal
  • Patent number: 6924231
    Abstract: Process operations are performed for subjecting target substrates respectively to a semiconductor process, while a target substrate is placed on a worktable within a process chamber, and the worktable is heated by a temperature control member to heat the target substrate. The reflection coefficient within the process chamber is changed depending on deposition of a by-product, which is generated during the semiconductor process on the target substrate, within the process chamber. A parameter representing the reflection coefficient within the process chamber is measured, between the first and last ones of the process operations. The set temperature of the worktable used in the semiconductor process is adjusted, based on measured value of the parameter, during process operations performed after measuring the parameter. As a consequence, repeatability of the semiconductor process is improved.
    Type: Grant
    Filed: February 14, 2002
    Date of Patent: August 2, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Takeshi Sakuma, Hirokatsu Kobayashi
  • Patent number: 6921709
    Abstract: A method of manufacturing an integrated circuit having a gate structure above a substrate that includes germanium utilizes at least one layer as a seal. The layer advantageously can prevent back sputtering and outdiffusion. A transistor can be formed in the substrate by doping through the layer. Another layer can be provided below the first layer. Layers of silicon dioxide, silicon carbide, silicon nitride, titanium, titanium nitride, titanium/titanium nitride, tantalum nitride, and silicon carbide can be used.
    Type: Grant
    Filed: July 15, 2003
    Date of Patent: July 26, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Eric N. Paton, Haihong Wang, Qi Xiang
  • Patent number: 6919269
    Abstract: A method for fabricating a semiconductor component includes: deposition of a polysilicon layer on a substrate, deposition of a precursor layer on the polysilicon layer, and deposition of a protective layer on the precursor layer. A crystalline transformation occurs in the precursor layer at a first temperature to form an electrode layer. The layers are patterned to form an electrode stack, and the polysilicon layer is oxidized at a second temperature such that no crystalline transformation occurs in the electrode layer.
    Type: Grant
    Filed: April 11, 2002
    Date of Patent: July 19, 2005
    Assignee: Infineon Technologies AG
    Inventors: Manfred Schneegans, Wolfgang Jäger, Ulrike Bewersdorff-Sarlette, Stephan Wege
  • Patent number: 6919247
    Abstract: A method of fabricating a floating gate for a semiconductor device is disclosed and provided. According to this method, an undoped polycrystalline silicon layer is deposited on a tunnel oxide layer. The undoped polycrystalline silicon layer has a first thickness. Moreover, a doped polycrystalline silicon layer is deposited on the undoped polycrystalline silicon layer. The doped polycrystalline silicon layer has a second thickness. The undoped polycrystalline silicon layer and the doped polycrystalline silicon layer form the floating gate having a third thickness. In an embodiment, the semiconductor device is a flash memory device.
    Type: Grant
    Filed: September 4, 2003
    Date of Patent: July 19, 2005
    Assignee: Advanced Micro Devices
    Inventors: Yider Wu, Kuo-Tung Chang
  • Patent number: 6916684
    Abstract: A process for underfilling a bumped die surface using a lamination step and compound film such that solder bumps on the die are exposed during lamination. The compound film comprises a first layer containing an underfill material and a second layer on the first layer. The underfill material and the second layer comprise polymer materials that differ from each other. The compound film is laminated to the die, preferably at the wafer level, so that the underfill material is forced between the solder bumps and fills spaces between the bumps but does not cover the bumps. In contrast, the second layer covers the solder bumps, but is then selectively removed to re-expose the solder bumps and the underfill material therebetween.
    Type: Grant
    Filed: March 18, 2003
    Date of Patent: July 12, 2005
    Assignee: Delphi Technologies, Inc.
    Inventors: Frank Stepniak, Matthew R. Walsh, Arun K. Chaudhuri, Michael J. Varnau
  • Patent number: 6903032
    Abstract: A method for preparing a semiconductor wafer wherein rapid thermal annealing is conducted to smooth a free surface of a superficial zone that is supported by the wafer. The improvement includes treating the superficial zone before conducting the rapid thermal annealing to prevent pitting in the superficial zone during the rapid thermal annealing.
    Type: Grant
    Filed: January 8, 2004
    Date of Patent: June 7, 2005
    Assignee: S.O.I.TEC Silicon on Insulator Technologies S.A.
    Inventors: Christophe Maleville, Eric Neyret
  • Patent number: 6900131
    Abstract: The present invention provides a method of manufacturing a semiconductor device, which is capable of reducing variations in the rate of occurrence of failures at individual connecting portions in the semiconductor device. According to the semiconductor device manufacturing method, a Cu-containing TiN layer, which serves as a cap layer (130 (310)), is formed using a Cu-containing Ti target. Cu contained in the Cu-containing TiN layer is diffused into an Al—Cu wiring (120 (320)) located in a portion electrically connected to an interlayer wiring (200) by heat treatment.
    Type: Grant
    Filed: April 30, 2003
    Date of Patent: May 31, 2005
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Makiko Nakamura
  • Patent number: 6897140
    Abstract: A lithography method for fabricating structures of etch-resistant metal-semiconductor compound on a substrate with sub-micrometer scale resolutions is described. Superposed layers of metal and semiconductor capable of reacting with each other to form etch-resistant metal/semiconductor compound are deposited on the substrate. Radiation from a X-ray/EUV source propagates through a patterned X-ray transparent/EUV reflective mask and is projected on the superposed metal and semiconductor layers. The X-ray transparent mask includes X-ray absorbing patterns imparted to the X-ray radiation while the EUV reflective mask includes EUV absorbing patterns also imparted to the EUV radiation. The energy of X-ray/EUV photons is absorbed locally by the metal and semiconductor layers. Absorption of this energy induces a reaction between the two layers responsible for the formation of etch-resistant metal/semiconductor compound with structures corresponding to the patterns imparted to the radiation by the X-ray/EUV mask.
    Type: Grant
    Filed: February 5, 2001
    Date of Patent: May 24, 2005
    Assignee: Quantiscript, Inc.
    Inventors: Dominique Drouin, Eric Lavallée, Jacques Beauvais
  • Patent number: 6897151
    Abstract: The invention relates to methods of making monodisperse nanocrystals comprising the steps of reducing a copper salt with a reducing agent, providing a passivating agent comprising a nitrogen and/or an oxygen donating moitey and isolating the copper nanocrystals. Moreover, the invention relates to methods for making a copper film comprising the steps of applying a solvent comprising copper nanocrystals onto a substrate and heating the substrate to form a film of continuous bulk copper from said nanocrystals. Finally, the invention also relates to methods for filling a feature on a substrate with copper comprising the steps of applying a solvent comprising copper nanocrystals onto the featured substrate and heating the substrate to fill the feature by forming continuous bulk copper in the feature.
    Type: Grant
    Filed: November 8, 2002
    Date of Patent: May 24, 2005
    Assignee: Wayne State University
    Inventors: Charles H. Winter, Zhengkun Yu, Charles L. Dezelah, IV, Avery N. Goldstein
  • Patent number: 6890846
    Abstract: Provided is a manufacturing method of a semiconductor device which comprises (a) depositing a first insulating film over a wafer, (b) forming an interconnect opening in the first insulating film, (c) forming, in the interconnect opening, an interconnect having a conductor film comprised mainly of copper, (d) forming a taper at a corner of said conductor film on the opening side of the interconnect opening, and (e) depositing a second insulating film over the first insulating film and interconnect. The present invention makes it possible to improve dielectric breakdown strength between interconnects each having a main conductor film comprised mainly of copper.
    Type: Grant
    Filed: November 14, 2002
    Date of Patent: May 10, 2005
    Assignee: Renesas Technology Corp.
    Inventor: Junji Noguchi
  • Patent number: 6884674
    Abstract: A semiconductor device has a capacitance insulating film having a perovskite structure represented by the general formula ABO3 (where each of A and B is a metal element) and first and second electrodes opposed to each other with the capacitance insulating film interposed therebetween. The capacitance insulating film is formed such that the composition of the metal element A or B is higher in the region thereof adjacent the first electrode than in the other region thereof.
    Type: Grant
    Filed: February 13, 2003
    Date of Patent: April 26, 2005
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Akihiko Tsuzumitani, Hisashi Ogawa, Yasutoshi Okuno, Yoshihiro Mori
  • Patent number: 6881637
    Abstract: In a method for forming a gate electrode having an excellent sidewall profile, after a gate structure is formed on a substrate, a first oxide film is formed on a sidewall of the gate structure and on the substrate by re-oxidizing the gate structure and the substrate under an atmosphere including an oxygen gas and an inert gas. The gate structure has a gate oxide film pattern, a polysilicon film pattern and a metal silicide film pattern. A portion of the first oxide film formed on a sidewall of the polysilicon film pattern has a thickness substantially identical to that of a portion of the first oxide film formed on a sidewall of the metal silicide film pattern. A failure of a semiconductor device having the gate electrode can be minimized because the gate electrode has an improved sidewall profile.
    Type: Grant
    Filed: September 26, 2003
    Date of Patent: April 19, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae-Jong Han, Yong-Woo Hyung, Seung-Mok Shin, Kong-Soo Lee, Eun-Jung Yun
  • Patent number: 6881666
    Abstract: A method of fabricating a semiconductor device, including at least the steps of (a) forming a via-hole or trench throughout an electrically insulating layer, (b) forming a wiring material layer on the electrically insulating layer such that the via-hole or trench is filled with the wiring material layer, (c) annealing the wiring material layer, (d) cooling the wiring material layer down to a temperature equal to or lower than a predetermined temperature, and (e) applying chemical mechanical polishing (CMP) to the wiring material layer such that the wiring material layer exists only in the via-hole or trench. The step (c) is carried out prior to the step (e), and the step (d) is carried out after the step (c).
    Type: Grant
    Filed: March 13, 2003
    Date of Patent: April 19, 2005
    Assignee: NEC Electronics Corporation
    Inventor: Masaya Kawano
  • Patent number: 6878627
    Abstract: A semiconductor device that includes cobalt-silicide based contacts is disclosed, as well as a process for making the same. Combinations of alloyed layers of Co—Ti— along with layers of Co— are arranged and heat treated so as to effectuate a silicide reaction on a silicon substrate. The resulting structures have extremely low resistance, and show little line width dependence, thus making them particularly attractive for use in semiconductor devices and processes.
    Type: Grant
    Filed: August 13, 2003
    Date of Patent: April 12, 2005
    Assignee: United Microelectronics Corporation
    Inventors: Water Lur, David Lee, Kuang-Chih Wang
  • Patent number: 6844609
    Abstract: A structure and method for providing an antifuse which is closed by laser energy with an electrostatic assist. Two or more metal segments are formed over a semiconductor structure with an air gap or a porous dielectric between the metal segments. Pulsed laser energy is applied to one or more of the metal segments while a voltage potential is applied between the metal segments to create an electrostatic field. The pulsed laser energy softens the metal segment, and the electrostatic field causes the metal segments to move into contact with each other. The electrostatic field reduces the amount of laser energy which must be applied to the semiconductor structure to close the antifuse.
    Type: Grant
    Filed: October 23, 2002
    Date of Patent: January 18, 2005
    Assignee: International Business Machines Corporation
    Inventors: William T. Motsiff, William R. Tonti, Richard Q. Williams
  • Publication number: 20040266054
    Abstract: An exemplary system and method for defining fine printed OFET features is disclosed as comprising inter alia: printed deposition of a conductive material on a substrate; and laser-assisted ablative removal of at least a portion of the conductive material to define source and drain electrode structures. Disclosed features and specifications may be variously controlled, adapted or otherwise optionally modified to improve OFET feature definition. Exemplary embodiments of the present invention representatively provide for resolved OFET channel features that may be readily integrated with or extended to other organic electronic technologies for the improvement of device package form factors, weights and other manufacturing and/or device performance metrics.
    Type: Application
    Filed: June 30, 2003
    Publication date: December 30, 2004
    Inventors: Paul W. Brazis, Daniel R. Gamota, Krishna Kalyanasundaram, Jie Zhang
  • Publication number: 20040241976
    Abstract: The present invention provides a method for producing a crystalline metal oxide thin film by first depositing a substantially amorphous metal oxide film, and thereafter, as a post treatment, exposing the film to low temperature plasma in a high frequency electric field at 180° C. or less, and the crystalline metal oxide thin film produced by this method. Because the producing method according to the present invention allows a dense and homogenous crystalline metal oxide thin film to be formed onto a substrate at a low temperature without requiring active heat treatment, a metal oxide thin film having desirable characteristics can be formed without damaging the characteristics of a substrate even if the substrate has comparatively low heat resistance.
    Type: Application
    Filed: June 10, 2004
    Publication date: December 2, 2004
    Inventors: Koji Fukuhisa, Akira Nakajima, Kenji Shinohara, Toshiya Watanabe, Hisashi Ohsaki, Tadashi Serikawa
  • Patent number: 6821879
    Abstract: The invention is directed to a fabrication method of copper interconnects using dual damascene processing. Using silicon to provide an active surface, palladium can be selectively deposited on silicon by an immersion plating technique. After palladium deposition (about 1000 Å thick), either a layer of cobalt phosphorus or alloy cobalt/nickel phosphorus or nickel phosphorus is deposited on the palladium layer using an electroless plating technique. This cobalt phosphorus, cobalt/nickel phosphorus alloy, or nickel phosphorus layer serves as a copper diffusion barrier. The via and trenches are filled with copper by an electroless copper plating method and CMP is used to remove the excess copper and planarize-/-polish the copper/dielectric surface.
    Type: Grant
    Filed: October 30, 2002
    Date of Patent: November 23, 2004
    Assignee: Xerox Corporation
    Inventor: Kaiser H. Wong