Of Refractory Group Metal (i.e., Titanium (ti), Zirconium (zr), Hafnium (hf), Vanadium (v), Niobium (nb), Tantalum (ta), Chromium (cr), Molybdenum (mo), Tungsten (w), Or Alloy Thereof) Patents (Class 438/683)
  • Patent number: 7892970
    Abstract: The present invention relates to alternative methods for the production of crystalline silicon compounds and/or alloys such as silicon carbide layers and substrates. In one embodiment, a method of the present invention comprises heating a porous silicon deposition surface of a porous silicon substrate to a temperature operable for epitaxial deposition of at least one atom or molecule, contacting the porous silicon deposition surface with a reactive gas mixture comprising at least one chemical species comprising a group IV element and at least one silicon chemical species, and depositing a silicon-group IV element layer on the porous silicon deposition surface. In another embodiment, the chemical species comprising a group IV element can be replaced with a transition metal species to form a silicon silicide layer.
    Type: Grant
    Filed: May 17, 2010
    Date of Patent: February 22, 2011
    Assignee: The University of North Carolina at Charlotte
    Inventor: Mohamed-Ali Hasan
  • Patent number: 7884428
    Abstract: A semiconductor device includes an Nch transistor having a first gate electrode and a Pch transistor having a second gate electrode. The first gate electrode and the second gate electrode are made of materials causing stresses of different magnitudes.
    Type: Grant
    Filed: April 3, 2008
    Date of Patent: February 8, 2011
    Assignee: Panasonic Corporation
    Inventors: Yoichi Yoshida, Kenshi Kanegae
  • Publication number: 20110027979
    Abstract: To provide a method of manufacturing a dielectric film having a high dielectric constant. In an embodiment of the present invention, an HfN/Hf laminated film is formed on a substrate on which a thin silicon oxide film is formed and a dielectric film of a metal nitride made of a mixture of Hf, Si, O and N is manufactured by annealing treatment. According to the present invention, it is possible to (1) reduce an EOT, (2) reduce a leak current to Jg=1.0×10?1 A/cm2 or less, (3) suppress hysteresis caused by the generation of fixed charges, and (4) prevent an increase in EOT even if heat treatment at 700° C. or more is performed and obtain excellent heat resistance.
    Type: Application
    Filed: July 21, 2010
    Publication date: February 3, 2011
    Applicant: CANON ANELVA CORPORATION
    Inventors: Takuya Seino, Takashi Nakagawa, Naomu Kitano, Toru Tatsumi
  • Patent number: 7867860
    Abstract: A strained channel transistor is provided. The strained channel transistor comprises a substrate formed of a first material. A source region comprised of a second material is formed in a first recess in the substrate, and a drain region comprised of the second material is formed in a second recess in the substrate. A strained channel region formed of the first material is intermediate the source and drain region. A gate stack formed over the channel region includes a gate electrode overlying a gate dielectric. A gate spacer formed along a sidewall of the gate electrode overlies a portion of at least one of said source region and said drain region. A cap layer may be formed over the second material, and the source and drain regions may be silicided.
    Type: Grant
    Filed: July 23, 2004
    Date of Patent: January 11, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yi-Chun Huang, Yen-Ping Wang, Chih-Hsin Ko
  • Patent number: 7867901
    Abstract: A method for forming silicide in a semiconductor device includes simultaneously performing a cleaning process and an etching process to remove a silicide metal layer if an excessive delay in time lapses after forming the silicide metal layer. This may prevent the occurrence of liquid marks due to an oxidation reaction at an interface of the semiconductor substrate in contact with the silicide metal layer, thereby preventing silicide defects due to the excessive delay.
    Type: Grant
    Filed: July 1, 2009
    Date of Patent: January 11, 2011
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Kyoung-Hwa Jung
  • Patent number: 7863193
    Abstract: Post-laser annealing dopant deactivation is minimized by performing certain silicide formation process steps prior to laser annealing. A base metal layer is deposited on the source-drain regions and the gate electrode, followed by deposition of an overlying compression cap layer, to prevent metal agglomeration at the silicon melting temperature. Thereafter, a rapid thermal process is performed to heat the substrate sufficiently to form metal silicide contacts at the top surfaces of the source-drain regions and of the gate electrode. The method further includes removing the remainder of the metal-containing layer and then depositing an optical absorber layer over the substrate prior to laser annealing near the silicon melting temperature.
    Type: Grant
    Filed: August 9, 2007
    Date of Patent: January 4, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Yi Ma, Philip Allan Kraus, Christopher Sean Olsen, Khaled Z. Ahmed, Abhilash J. Mayur
  • Patent number: 7863186
    Abstract: Fully and uniformly silicided gate conductors are produced by deeply “perforating” silicide gate conductors with sub-lithographic, sub-critical dimension, nanometer-scale openings. A silicide-forming metal (e.g. cobalt, tungsten, etc.) is then deposited, polysilicon gates, covering them and filling the perforations. An anneal step converts the polysilicon to silicide. Because of the deep perforations, the surface area of polysilicon in contact with the silicide-forming metal is greatly increased over conventional silicidation techniques, causing the polysilicon gate to be fully converted to a uniform silicide composition. A self-assembling diblock copolymer is used to form a regular sub-lithographic nanometer-scale pattern that is used as an etching “template” for forming the perforations.
    Type: Grant
    Filed: December 15, 2008
    Date of Patent: January 4, 2011
    Assignee: International Business Machines Corporation
    Inventors: Wai-Kin Li, Haining Yang
  • Patent number: 7858515
    Abstract: A method for forming a metal line in a semiconductor device may include forming a silicon (Si) monolayer as an etching prevention layer over an exposed portion of a lower metal layer and sidewalls of an upper metal layer, middle metal layer, and the entire surface of curved photoresist patterns.
    Type: Grant
    Filed: October 8, 2008
    Date of Patent: December 28, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Han-Choon Lee
  • Patent number: 7851301
    Abstract: A semiconductor capacitor structure comprising sidewalls of conductive hemispherical grained material, a base of metal silicide material, and a metal nitride material overlying the conductive hemispherical grained material and the metal silicide material. The semiconductor capacitor structure is fabricated by forming a base of metal silicide material along the sidewalls of an insulative material having an opening therein, forming sidewalls of conductive hemispherical grained material on the metal silicide material, and forming a metal nitride material overlying the conductive hemispherical grained material and the metal silicide material.
    Type: Grant
    Filed: July 28, 2006
    Date of Patent: December 14, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Gurtej S. Sandhu
  • Patent number: 7833906
    Abstract: Titanium silicon nitride (TiSiN) films are formed in a cyclic chemical vapor deposition process. In some embodiments, the TiSiN films are formed in a batch reactor using TiCl4, NH3 and SiH4 as precursors. Substrates are provided in a deposition chamber of the batch reactor. In each deposition cycle, a TiN layer is formed on the substrates by flowing TiCl4 into the deposition chamber simultaneously with NH3. The deposition chamber is subsequently flushed with NH3. to prepare the TiN layer for silicon incorporation. SiH4 is subsequently flowed into the deposition chamber. Silicon from the SiH4 is incorporated into the TiN layers to form TiSiN. Exposing the TiN layers to NH3 before the silicon precursor has been found to facilitate efficient silicon incorporation into the TiN layers to form TiSiN.
    Type: Grant
    Filed: December 11, 2008
    Date of Patent: November 16, 2010
    Assignee: ASM International N.V.
    Inventors: Martin A. Knapp, Guido Probst
  • Patent number: 7825025
    Abstract: According to one embodiment of the invention, a method for nickel silicidation includes providing a substrate having a source region, a gate region, and a drain region, forming a source in the source region and a drain in the drain region, annealing the source and the drain, implanting, after the annealing the source and the drain, a heavy ion in the source region and the drain region, depositing a nickel layer in each of the source and drain regions, and heating the substrate to form a nickel silicide region in each of the source and drain regions by heating the substrate.
    Type: Grant
    Filed: October 4, 2004
    Date of Patent: November 2, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Amitabh Jain, Peijun Chen, Jorge A. Kittl
  • Patent number: 7816219
    Abstract: A semiconductor structure and method for forming the same. First, a semiconductor structure is provided, including (a) a semiconductor layer including (i) a channel region and (ii) first and second source/drain (S/D) extension regions, and (iii) first and second S/D regions, (b) a gate dielectric region in direction physical contact with the channel region via a first interfacing surface that defines a reference direction essentially perpendicular to the first interfacing surface, and (c) a gate region in direct physical contact with the gate dielectric region, wherein the gate dielectric region is sandwiched between and electrically insulates the gate region and the channel region. Then, (i) a first shallow contact region is formed in direct physical contact with the first S/D extension region, and (ii) a first deep contact region is formed in direct physical contact with the first S/D region and the first shallow contact region.
    Type: Grant
    Filed: September 5, 2007
    Date of Patent: October 19, 2010
    Assignee: International Business Machines Corporation
    Inventors: Xiangdong Chen, Sunfei Fang, Zhijiong Luo, Haining Yang, Huilong Zhu
  • Patent number: 7816258
    Abstract: An electro-optic device substrate includes a base and a TFT element having a source region and a drain region disposed on the base. The TFT element includes a silicon layer in the source region or the drain region, and the silicon layer at least partially includes a silicided portion. The electro-optic device substrate also includes a metal wire connected to the silicided portion of the silicon layer.
    Type: Grant
    Filed: June 5, 2007
    Date of Patent: October 19, 2010
    Assignee: Seiko Epson Corporation
    Inventor: Minoru Moriwaki
  • Publication number: 20100248463
    Abstract: Adhesion of dielectric layer stacks to be formed after completing the basic configuration of transistor elements may be increased by avoiding the formation of a metal silicide in the edge region of the substrate. For this purpose, a dielectric protection layer may be selectively formed in the edge region prior to a corresponding pre-clean process or immediately prior to deposition of the refractory metal. Hence, non-reacted metal may be efficiently removed from the edge region without creating a non-desired metal silicide. Hence, the further processing may be continued on the basis of enhanced process conditions for forming interlayer dielectric materials.
    Type: Application
    Filed: March 30, 2010
    Publication date: September 30, 2010
    Inventors: Tobias Letz, Frank Feustel, Kai Frohberg
  • Patent number: 7799682
    Abstract: By performing a silicidation process on the basis of a patterned dielectric layer, such as an interlayer dielectric material, the respective metal silicide portions may be provided in a highly localized manner at the respective contact regions, while the overall amount of metal silicide may be significantly reduced. In this way, a negative influence of the stress of metal silicide on the channel regions of field effect transistors may be significantly reduced, while nevertheless maintaining a low contact resistance.
    Type: Grant
    Filed: April 9, 2007
    Date of Patent: September 21, 2010
    Assignee: GlobalFoundries Inc.
    Inventors: Sven Beyer, Patrick Press, Thomas Feudel
  • Publication number: 20100227459
    Abstract: Disclosed is a method for forming a W-based film including a step for placing a substrate in a processing chamber, a step for forming a WSi film by alternately repeating disposition of W through introduction of a W(CO)6 gas into the processing chamber and silicidation of W or deposition of Si through introduction of an Si-containing gas into the processing chamber, and a step for purging the processing chamber between the supply of the W(CO)6 gas and the supply of the Si-containing gas.
    Type: Application
    Filed: August 9, 2006
    Publication date: September 9, 2010
    Applicant: Tokyo Electron Limited
    Inventor: Hideaki Yamasaki
  • Patent number: 7790617
    Abstract: A method of fabrication of a sputtered metal silicide layer over a copper interconnect. We form a dielectric layer over a conductive layer. We form an interconnect opening in the dielectric layer. We form a copper layer at least filling the interconnect opening. We planarize the copper layer to form a copper interconnect in the interconnect opening. The copper interconnect is over polished to form a depression. We form metal silicide layer over the copper interconnect using a low temperature sputtering process. We can form a cap layer over the metal silicide layer.
    Type: Grant
    Filed: November 12, 2005
    Date of Patent: September 7, 2010
    Assignee: Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Yeow Kheng Lim, Wei Lu, Liang Choo Hsia, Jyoti Gupta, Chim Seng Seet, Hao Zhang
  • Patent number: 7776742
    Abstract: A TiN film is formed to have a predetermined thickness on a semiconductor wafer by heating the semiconductor wafer at a film formation temperature within a process container and performing a cycle including a first step and a second step at least once. The first step is arranged to supply a TiCl4 gas and a NH3 gas to form a film of TiN by CVD. The second step is arranged to stop the TiCl4 gas and supply the NH3 gas. In film formation, the semiconductor wafer is set at a temperature of less than 450° C. and the process container is set to have therein a total pressure of more than 100 Pa. The NH3 gas is set to have a partial pressure of 30 Pa or less within the process container in the first step.
    Type: Grant
    Filed: January 14, 2005
    Date of Patent: August 17, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Toshio Hasegawa
  • Patent number: 7759248
    Abstract: A semiconductor memory device and a method of fabricating the same are disclosed. The semiconductor memory device may include a conductive layer doped with impurities, a non-conductive layer on the conductive layer and undoped with impurities, an interlayer insulating film on the non-conductive layer and having a contact hole for exposing an upper surface of the non-conductive layer, an ohmic tungsten film on the contact hole, a lower portion of the ohmic tungsten film permeating the non-conductive layer to come in contact with the conductive layer, a tungsten nitride film on the contact hole on the ohmic tungsten film, and a tungsten film on the tungsten nitride film to fill the contact hole.
    Type: Grant
    Filed: October 24, 2006
    Date of Patent: July 20, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seong-Hwee Cheong, Sang-Woo Lee, Jong-Won Hong, Seung-Gil Yang, Kyung-In Choi, Hyun-Bae Lee
  • Patent number: 7754554
    Abstract: Methods for fabricating low contact resistance CMOS integrated circuits are provided. In accordance with an embodiment, a method for fabricating a CMOS integrated circuit including an NMOS transistor and a PMOS transistor disposed in and on a silicon-comprising substrate includes depositing a first silicide-forming metal on the NMOS and PMOS transistors. The first silicide-forming metal forms a silicide at a first temperature. At least a portion of the first silicide-forming metal is removed from the NMOS or PMOS transistor and a second silicide-forming metal is deposited. The second silicide-forming metal forms a silicide at a second temperature that is different from the first temperature. The first silicide-forming metal and the second silicide-forming metal are heated at a temperature that is no less than the higher of the first temperature and the second temperature.
    Type: Grant
    Filed: January 31, 2007
    Date of Patent: July 13, 2010
    Assignee: GlobalFoundries Inc.
    Inventors: Igor Peidous, Patrick Press, Paul R. Besser
  • Publication number: 20100164110
    Abstract: The present invention provides metal silicide nanowires, including metallic, semiconducting, and ferromagnetic semiconducting transition metal silicide nanowires. The nanowires are grown using either chemical vapor deposition (CVD) or chemical vapor transport (CVT) on silicon substrates covered with a thin silicon oxide film, the oxide film desirably having a thickness of no greater than about 5 nm and, desirably, no more than about 2 nm (e.g., about 1-2 nm). The metal silicide nanowires and heterostructures made from the nanowires are well-suited for use in CMOS compatible wire-like electronic, photonic, and spintronic devices.
    Type: Application
    Filed: August 17, 2006
    Publication date: July 1, 2010
    Inventors: Song Jin, Andrew L. Schmitt, Yipu Song
  • Patent number: 7740796
    Abstract: Provided is iron silicide powder in which the content of oxygen as the gas component is 1500 ppm or less, and a method of manufacturing such iron silicide powder including the steps of reducing iron oxide with hydrogen to prepare iron powder, heating the iron powder and Si powder in a non-oxidizing atmosphere to prepare synthetic powder containing FeSi as its primary component, and adding and mixing Si powder once again thereto and heating this in a non-oxidizing atmosphere to prepare iron silicide powder containing FeSi2 as its primary component. The content of oxygen as the gas component contained in the iron silicide powder will decrease, and the iron silicide powder can be easily pulverized as a result thereof. Thus, the mixture of impurities when the pulverization is unsatisfactory will be reduced, the specific surface area of the iron silicide powder will increase, and the density can be enhanced upon sintering the iron silicide powder.
    Type: Grant
    Filed: September 1, 2003
    Date of Patent: June 22, 2010
    Assignee: Nippon Mining & Metals Co., Ltd
    Inventors: Kunihiro Oda, Ryo Suzuki
  • Patent number: 7741220
    Abstract: The present invention discloses a semiconductor device and a manufacturing method thereof which improves its characteristics even though it is miniaturized. According to one aspect of the present invention, it is provided a semiconductor device comprising a first semiconductor element device including a pair of first diffusion layers formed in the semiconductor substrate with a first gate electrode therebetween, and a first conductor layer formed in the first diffusion layer and having an internal stress in a first direction, and a second semiconductor element device including a pair of second diffusion layers formed in the semiconductor substrate with a second gate electrode therebetween, and a second conductor layer formed in the second diffusion layer, having an internal stress in a second direction opposite to the first direction, and constituted of the same element as that of the first conductor layer.
    Type: Grant
    Filed: April 16, 2008
    Date of Patent: June 22, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Toshihiko Iinuma
  • Publication number: 20100151681
    Abstract: Titanium silicon nitride (TiSiN) films are formed in a cyclic chemical vapor deposition process. In some embodiments, the TiSiN films are formed in a batch reactor using TiCl4, NH3 and SiH4 as precursors. Substrates are provided in a deposition chamber of the batch reactor. In each deposition cycle, a TiN layer is formed on the substrates by flowing TiCl4 into the deposition chamber simultaneously with NH3. The deposition chamber is subsequently flushed with NH3. to prepare the TiN layer for silicon incorporation. SiH4 is subsequently flowed into the deposition chamber. Silicon from the SiH4 is incorporated into the TiN layers to form TiSiN. Exposing the TiN layers to NH3 before the silicon precursor has been found to facilitate efficient silicon incorporation into the TiN layers to form TiSiN.
    Type: Application
    Filed: December 11, 2008
    Publication date: June 17, 2010
    Applicant: ASM INTERNATIONAL N.V.
    Inventors: Martin A. Knapp, Guido Probst
  • Patent number: 7736984
    Abstract: In one embodiment, silicide layers are formed on two oppositely doped adjacent semiconductor regions. A conductor material is formed electrically contacting both of the two silicides.
    Type: Grant
    Filed: September 23, 2005
    Date of Patent: June 15, 2010
    Assignee: Semiconductor Components Industries, LLC
    Inventors: Gordon M. Grivna, Prasad Venkatraman
  • Patent number: 7737036
    Abstract: Post-laser annealing dopant deactivation is minimized by performing certain low temperature process steps prior to laser annealing.
    Type: Grant
    Filed: August 9, 2007
    Date of Patent: June 15, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Yi Ma, Philip Allan Kraus, Christopher Sean Olsen, Khaled Z. Ahmed, Abhilash J. Mayur
  • Patent number: 7737015
    Abstract: A simple and cost effective method of forming a fully silicided (FUSI) gate of a MOS transistor is disclosed. In one example, the method comprises forming a nitride hardmask overlying a polysilicon gate, forming an S/D silicide in source/drain regions of the transistor, oxidizing a portion of the S/D silicide to form an oxide barrier overlying the S/D silicide in the source/drain regions, removing the nitride hardmask from the polysilicon gate, and forming a gate silicide such as by deposition of a gate silicide metal over the polysilicon gate and the oxide barrier in the source/drain regions to form a fully silicided (FUSI) gate in the transistor. Thus, the oxide barrier protects the source/drain regions from additional silicide formation by the gate silicide metal formed thereafter. The method may further comprise selectively removing the oxide barrier in the source/drain regions after forming the fully silicided (FUSI) gate.
    Type: Grant
    Filed: February 27, 2007
    Date of Patent: June 15, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Puneet Kohli, Craig Huffman, Manfred Ramin
  • Patent number: 7732313
    Abstract: A method for making a transistor 20 that includes using a transition metal nitride layer 200 and/or a SOG layer 220 to protect the source/drain regions 60 from silicidation during the silicidation of the gate electrode 90. The SOG layer 210 is planarized to expose the transition metal nitride layer 200 or the gate electrode 93 before the gate silicidation process. If a transition metal nitride layer 200 is used, then it is removed from the top of the gate electrode 93 before the full silicidation of the gate electrode 90.
    Type: Grant
    Filed: January 5, 2009
    Date of Patent: June 8, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Jiong-Ping Lu, Yaw S. Obeng, Ping Jiang, Joe G. Tran
  • Patent number: 7732327
    Abstract: Embodiments of the invention provide an improved process for depositing tungsten-containing materials. The process utilizes soak processes and vapor deposition processes to provide tungsten films having significantly improved surface uniformity while increasing the production level throughput. In one embodiment, a method is provided which includes depositing a tungsten silicide layer on the substrate by exposing the substrate to a continuous flow of a silicon precursor while also exposing the substrate to intermittent pulses of a tungsten precursor. The method further provides that the substrate is exposed to the silicon and tungsten precursors which have a silicon/tungsten precursor flow rate ratio of greater than 1, for example, about 2, about 3, or greater. Subsequently, the method provides depositing a tungsten nitride layer on the tungsten suicide layer, depositing a tungsten nucleation layer on the tungsten nitride layer, and depositing a tungsten bulk layer on the tungsten nucleation layer.
    Type: Grant
    Filed: September 26, 2008
    Date of Patent: June 8, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Sang-Hyeob Lee, Avgerinos V. Gelatos, Kai Wu, Amit Khandelwal, Ross Marshall, Emily Renuart, Wing-Cheong Gilbert Lai, Jing Lin
  • Patent number: 7704885
    Abstract: A method for fabricating a semiconductor device is provided. The method of fabricating a semiconductor device provides a semiconductor substrate; forming a first insulating layer, a first conductive layer and a chemical mechanical polishing (CMP) stop layer over the semiconductor substrate in sequence; forming openings in the chemical mechanical polishing (CMP) stop layer and the underlying first conductive layer to expose the first insulating layer, thereby leaving a patterned chemical mechanical polishing (CMP) stop layer and a patterned first conductive layer; forming a second insulating layer on the patterned chemical mechanical polishing (CMP) stop layer, filling in the openings; performing a planarization process to remove a portion of the second insulating layer until the patterned chemical mechanical polishing (CMP) stop layer is exposed, thereby leaving a remaining second insulating layer in the openings; removing the patterned chemical mechanical polishing (CMP) stop layer.
    Type: Grant
    Filed: May 24, 2007
    Date of Patent: April 27, 2010
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Kern-Huat Ang, Po-Jen Wang
  • Patent number: 7700480
    Abstract: Some embodiments include methods of titanium deposition in which a silicon-containing surface and an electrically insulative surface are both exposed to titanium-containing material, and in which such exposure forms titanium silicide from the silicon-containing surface while not depositing titanium onto the electrically insulative surface. The embodiments may include atomic layer deposition processes, and may include a hydrogen pre-treatment of the silicon-containing surfaces to activate the surfaces for reaction with the titanium-containing material. Some embodiments include methods of titanium deposition in which a semiconductor material surface and an electrically insulative surface are both exposed to titanium-containing material, and in which a titanium-containing film is uniformly deposited across both surfaces.
    Type: Grant
    Filed: April 27, 2007
    Date of Patent: April 20, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Joel A. Drewes, Cem Basceri, Demetrius Sarigiannis
  • Patent number: 7691742
    Abstract: In one embodiment, a method for forming a tantalum-containing material on a substrate is provided which includes heating a liquid tantalum precursor containing tertiaryamylimido-tris(dimethylamido) tantalum (TAIMATA) to a temperature of at least 30° C. to form a tantalum precursor gas and exposing the substrate to a continuous flow of a carrier gas during an atomic layer deposition process. The method further provides exposing the substrate to the tantalum precursor gas by pulsing the tantalum precursor gas into the carrier gas and adsorbing the tantalum precursor gas on the substrate to form a tantalum precursor layer thereon. Subsequently, the tantalum precursor layer is exposed to at least one secondary element-containing gas by pulsing the secondary element-containing gas into the carrier gas while forming a tantalum barrier layer on the substrate.
    Type: Grant
    Filed: February 4, 2009
    Date of Patent: April 6, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Christophe Marcadal, Rongjun Wang, Hua Chung, Nirmalya Maity
  • Patent number: 7691736
    Abstract: Embodiments of the invention provide a semiconductor device having dielectric material and its method of manufacture. A method comprises a short (?2 sec) flash activation of an ILD surface followed by flowing a precursor such as silane, DEMS, over the activated ILD surface. The precursor reacts with the activated ILD surface thereby selectively protecting the ILD surface. The protected ILD surface is resistant to plasma processing damage. The protected ILD surface eliminates the requirement of using a hard mask to protect a dielectric from plasma damage.
    Type: Grant
    Filed: February 10, 2006
    Date of Patent: April 6, 2010
    Assignee: Infineon Technologies AG
    Inventors: Michael Beck, John A. Fitzsimmons, Karl Hornik, Darryl Restaino
  • Patent number: 7687399
    Abstract: A semiconductor product includes a portion made of copper, a portion made of a dielectric and a self-aligned barrier between the copper portion and the dielectric portion. The self-aligned barrier includes a first copper silicide layer comprising predominantly first copper silicide molecules, and a second copper silicide layer comprising predominantly second copper silicide molecules. The proportion of the number of silicon atoms is higher in the second silicide molecules than in the first silicide molecules. The second copper silicide layer is positioned between the copper portion and the first copper silicide layer. A nitride layer may overlie at least part of the first copper silicide layer.
    Type: Grant
    Filed: June 28, 2006
    Date of Patent: March 30, 2010
    Assignee: STMicroelectronics S.A.
    Inventors: Pierre Caubet, Nicolas Casanova
  • Patent number: 7678694
    Abstract: A method for fabricating a semiconductor device having a silicided gate that is directed to forming the silicided structures while maintaining gate-dielectric integrity. Initially, a gate structure has, preferably, a poly gate electrode separated from a substrate by a gate dielectric and a metal layer is then deposited over at least the poly gate electrode. The fabrication environment is placed at an elevated temperature. The gate structure may be one of two gate structures included in a dual gate device such as a CMOS device, in which case the respective gates may be formed at different heights (thicknesses) to insure that the silicide forms to the proper phase. The source and drain regions are preferably silicided as well, but in a separate process performed while the gate electrodes are protected by, for example a cap of photoresist or a hardmask structure.
    Type: Grant
    Filed: April 18, 2007
    Date of Patent: March 16, 2010
    Assignee: Taiwan Semicondutor Manufacturing Company, Ltd.
    Inventors: Mei-Yun Wang, Cheng-Chen Calvin Hsueh
  • Patent number: 7670861
    Abstract: The objects of the present invention are to form MEMS structures of which stress is controlled while maintaining the performance of high-performance LSI, to integrate MEMS Structures and LSI on a single chip, to electrically and chemically protect the MEMS structure and to reduce the stress of the whole movable part of the MEMS structure. To achieve the above objects, a silicide film formable at a low temperature is used for the MEMS structure. The temperature at the silicide film deposition T1 is selected optionally with reference the heat treatment temperature T2 and the pseudo-crystallization temperature T3. T2, the temperature of manufacturing process after the silicide film deposition, is determined does not cause the degradation of the characteristics of the high-performance LSI indispensable. Thus, the residual stress of the MEMS structures may be controlled.
    Type: Grant
    Filed: January 26, 2007
    Date of Patent: March 2, 2010
    Assignee: Hitachi, Ltd.
    Inventors: Yuko Hanaoka, Tsukasa Fujimori, Hiroshi Fukuda
  • Patent number: 7659199
    Abstract: Disclosed is a structure and method for tuning silicide stress and, particularly, for developing a tensile silicide region on a gate conductor of an n-FET in order to optimize n-FET performance. More particularly, a first metal layer-protective cap layer-second metal layer stack is formed on an n-FET structure. However, prior to the deposition of the second metal layer, the protective layer is exposed to air. This air break step alters the adhesion between the protective cap layer and the second metal layer and thereby, effects the stress imparted upon the first metal layer during silicide formation. The result is a more tensile silicide that is optimal for n-FET performance.
    Type: Grant
    Filed: April 4, 2008
    Date of Patent: February 9, 2010
    Assignee: International Business Machines Corporation
    Inventors: Robert J. Purtell, Keith Kwong Hon Wong
  • Patent number: 7659204
    Abstract: A method and resultant produce of forming barrier layer based on ruthenium tantalum in a via or other vertical interconnect structure through a dielectric layer in a multi-level metallization. The RuTa layer in a RuTa/RuTaN bilayer, which may form discontinuous islands, is actively oxidized, preferably in an oxygen plasma, to thereby bridge the gaps between the islands. Alternatively, ruthenium tantalum oxide is reactive sputtered onto the RuTaN or directly onto the underlying dielectric by plasma sputtering a RuTa target in the presence of oxygen.
    Type: Grant
    Filed: March 26, 2007
    Date of Patent: February 9, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Xianmin Tang, Hua Chung, Rongjun Wang, Praburam Gopalraja, Jick M. Yu, Jenn Yue Wang
  • Patent number: 7638432
    Abstract: The present invention provides a semiconductor device, comprising a semiconductor substrate, a gate insulating film formed on the semiconductor substrate, a gate electrode formed on the gate insulating film, and source-drain diffusion layer formed within the semiconductor substrate in the vicinity of the gate electrode. A silicide film is formed on each of the gate electrode and the source-drain diffusion layer. The silicide film positioned on the gate electrode is thicker than the silicide film positioned on the source-drain diffusion layer. The present invention also provides a method of manufacturing a semiconductor device, in which a gate electrode is formed on a gate insulating film covering a semiconductor substrate, followed by forming a source-drain diffusion layer within the semiconductor substrate.
    Type: Grant
    Filed: April 23, 2007
    Date of Patent: December 29, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Katsura Miyashita, Hisao Yoshimura, Mariko Takagi
  • Patent number: 7632744
    Abstract: Formation of an WNx film 24 constituting a barrier layer of a gate electrode 7A having a polymetal structure is effected in an atmosphere containing a high concentration nitrogen gas, whereby release of N (nitrogen) from the WNx film 24 is suppressed in the heat treatment step after the formation of the gate electrode 7A.
    Type: Grant
    Filed: April 14, 2008
    Date of Patent: December 15, 2009
    Assignee: Renesas Technology Corp.
    Inventors: Naoki Yamamoto, Yoshikazu Tanabe, Hiroshige Kogayu, Takehiko Yoshida
  • Patent number: 7629254
    Abstract: Embodiments relater to a semiconductor device and a method of fabricating the same. A source/drain area may be formed by using the spacer having the dual structure of the oxide layer and nitride layer. After etching a part of the oxide layer, the salicide layer may be formed on the gate electrode and the source/drain area, and the spacer may be removed. The contact area may be ensured, so a higher degree of integration may be achieved.
    Type: Grant
    Filed: September 4, 2007
    Date of Patent: December 8, 2009
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Jin-Ha Park
  • Publication number: 20090298288
    Abstract: Radical in a plasma generation chamber is supplied to a process chamber through an introducing aperture, and HF gas is supplied as a process gas from the vicinity of the radical introducing aperture. A native oxide film of the substrate surface of a IV group semiconductor doped an impurity is removed, with a good surface roughness equal to the wet cleaning. The substrate after the surface treatment is deposited with a metal material and metal silicide formation by thermal treatment is performed, and during these processes, the substrate is not exposed to the atmosphere, and a good contact resistance equal to or better than the wet process is obtained.
    Type: Application
    Filed: April 21, 2009
    Publication date: December 3, 2009
    Applicant: CANON ANELVA CORPORATION
    Inventors: Takuya Seino, Manabu Ikemoto, Kimiko Mashimo
  • Patent number: 7622388
    Abstract: The invention includes methods of forming titanium-containing materials, such as, for example, titanium silicide. The invention can use alternating cycles of titanium halide precursor and one or more reductants to form the titanium-containing material. For instance, the invention can utilize alternating cycles of titanium tetrachloride and activated hydrogen to form titanium silicide on a surface of a silicon-containing substrate.
    Type: Grant
    Filed: March 7, 2008
    Date of Patent: November 24, 2009
    Assignee: Micron Technolyg, Inc.
    Inventors: Jaydeb Goswami, Joel A. Drewes
  • Publication number: 20090280608
    Abstract: A semiconductor device and a method for forming it are described. The semoiconductor device comprises a metal NMOS gate electrode that is formed on a first part of a substrate, and a silicide PMOS gate electrode that is formed on a second part of the substrate.
    Type: Application
    Filed: November 2, 2006
    Publication date: November 12, 2009
    Inventors: Justin K. Brask, Mark L. Doczy, Jack Kavalieros, Matthew V. Metz, Chris E. Barns, Uday Shah, Suman Datta, Christopher D. Thomas, Robert S. Chau
  • Patent number: 7605092
    Abstract: Systems and methods associated with semiconductor articles are disclosed, including forming a first layer of material on a substrate, etching trenches within regions defining a passive element in the first layer, forming metal regions on sidewalls of the trenches, and forming a region of dielectric or polymer material over or in the substrate. Moreover, an exemplary method may also include forming areas of metal regions on the sidewalls of the trenches such that planar strip portions of the areas form electrically conductive regions of the passive element(s) that are aligned substantially perpendicularly with respect to a primary plane of the substrate. Other exemplary embodiments may comprise various articles or methods including capacitive and/or inductive aspects, Titanium- and/or Tantalum-based resistive aspects, products, products by processes, packages and composites consistent with one or more aspects of the innovations set forth herein.
    Type: Grant
    Filed: June 29, 2007
    Date of Patent: October 20, 2009
    Assignee: Silicon Storage Technology, Inc.
    Inventors: Bomy Chen, Long Ching Wang, Sychyi Fang
  • Patent number: 7605068
    Abstract: Provided is a semiconductor device and a manufacturing method thereof. The method includes the steps of: forming a thin film transistor including a substrate having a semiconductor layer and silicon, a gate insulation layer formed on the semiconductor layer, a gate electrode formed on the gate insulation layer, and source and drain regions formed in the semiconductor layer; forming a first metal layer on the substrate having the semiconductor layer and the gate electrode; forming a second metal layer on the first metal layer; forming a third metal layer on the second metal layer; forming a nitride layer on the third metal layer; and annealing the substrate having the nitride layer, and forming a silicide layer on the gate electrode and the source and drain regions.
    Type: Grant
    Filed: January 31, 2006
    Date of Patent: October 20, 2009
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Chel Jong Choi, Yong Jin Kim, Hi Deok Lee
  • Publication number: 20090242901
    Abstract: The present invention provides a method of fabricating a metal oxide semiconductor field effect transistor. The method includes the steps of forming a source region on a silicon carbide layer and annealing the source region. A gate oxide layer is formed on the source region and the silicon carbide layer. The method further includes providing a gate electrode on the gate oxide layer and disposing a dielectric layer on the gate electrode and the gate oxide layer. The method further includes etching a portion of the dielectric layer and a portion of the gate oxide layer to form sidewalls on the gate electrode. A metal layer is disposed on the gate electrode, the sidewalls and the source region. The method further includes forming a gate contact and a source contact by subjecting the metal layer to a temperature of at least about 800° C. The gate contact and the source contact comprise a metal silicide. The distance between the gate contact and the source contact is less than about 0.6 ?m.
    Type: Application
    Filed: June 12, 2009
    Publication date: October 1, 2009
    Applicant: GENERAL ELECTRIC COMPANY
    Inventors: Kevin Sean Matocha, Gregory Keith Dudoff, William Gregg Hawkins, Zachary Matthew Stum, Stephen Daley Arthur, Dale Marius Brown
  • Patent number: 7595264
    Abstract: A method of fabricating a semiconductor device is provided. The method includes forming a refractory metal alloy layer over a silicon-containing conductive layer. The refractory metal alloy layer is constituted of a first refractory metal and a second refractory metal. Thereafter, a cap layer is formed on the refractory metal alloy layer. A thermal process is performed so that the refractory metal alloy layer reacts with silicon of the silicon-containing conductive layer to form a refractory metal alloy salicide layer. Afterwards, an etch process with an etch solution is performed to removes the cap layer and the refractory metal alloy layer which has not been reacted and to form a protection layer on the refractory metal alloy salicide layer.
    Type: Grant
    Filed: January 21, 2008
    Date of Patent: September 29, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Yu-Lan Chang, Chao-Ching Hsieh, Yi-Yiing Chiang, Yi-Wei Chen, Tzung-Yu Hung
  • Publication number: 20090236676
    Abstract: The present invention in one embodiment provides a method of producing a device including providing a semiconducting device including a gate structure including a silicon containing gate conductor atop a substrate; forming a metal layer on at least the silicon containing gate conductor; and directing chemically inert ions to impact the metal layer, wherein momentum transfer from of the chemically inert ions force metal atoms from the metal layer into the silicon containing gate conductor to provide a silicide gate conductor.
    Type: Application
    Filed: March 20, 2008
    Publication date: September 24, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Huilong Zhu, Daewon Yang, Yanfeng Wang, Brian J. Greene
  • Patent number: 7572697
    Abstract: A method of manufacturing flash memory devices wherein, after gate lines are formed, an HDP oxide film having at least the same height as that of a floating gate is formed between the gate lines. Spacers are formed between the remaining spaces using a nitride film. Accordingly, the capacitance between the floating gates can be lowered. After an ion implantation process is performed, spacers can be removed. It is therefore possible to secure contact margin of the device.
    Type: Grant
    Filed: May 25, 2006
    Date of Patent: August 11, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Young Ok Hong