Including Logic Patents (Class 703/15)
  • Patent number: 7600169
    Abstract: Systems and methods for implementing test case generation with feedback are disclosed. An exemplary system for test case generation with feedback comprises a plurality of knobs identifying test values for a device under test. A plurality of buckets is each associated with at least one of the test values, each bucket having a weight value for the associated test value. A failure analysis module is operatively associated with the device under test, the failure analysis module changing at least some weight values based on feedback from test operations for the device under test. A test case generator selects test values for test operations based on the weight value for the associated test value.
    Type: Grant
    Filed: November 12, 2004
    Date of Patent: October 6, 2009
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventor: Christopher Todd Weller
  • Publication number: 20090248386
    Abstract: A description processing device has: a receiving unit which receives a behavior level description; a label-name generating unit which generates a label name; a label disposing unit which disposes a top label statement; an extracting unit which extracts an extracted label statement, a variable-name generating unit which generates a variable name; a replacing unit which replaces a statement immediately below the top label statement to the extracted label statement by a column of a conditional executable statement and an operation/assignment statement and replaces a jump statement for jumping the extracted label statement by a column of an operation/assignment statement and a jump statement for jumping to the top label; a control unit which repeats the extraction, the generation of a new variable name, and the replacement; an inserting unit which inserts an operation/assignment statement; and an output unit which outputs the behavior level description.
    Type: Application
    Filed: March 27, 2009
    Publication date: October 1, 2009
    Inventor: KAZUTOSHI WAKABAYASHI
  • Patent number: 7596483
    Abstract: The present invention is directed to determining the timing for a synchronous integrated circuit, the circuit including a multiplicity of clocked elements interconnected by signal paths. Predictions are formed for timing delays in said signal paths in the integrated circuit. A first such path is selected, wires are traced in the integrated circuit forming the path, hereinafter referred to as victim wires, and adjacent and crossing wires thereto, hereinafter referred to as aggressor wires, are determined. For each aggressor wire, the amount of electromagnetic coupling to the victim wires of the first path is determined. The aggressor wires are divided into a plurality of categories depending on the clocked timing of the aggressor wires in relation to the clocked timing of the victim wires.
    Type: Grant
    Filed: June 24, 1999
    Date of Patent: September 29, 2009
    Assignee: LSI Corporation
    Inventor: William Eric Corr
  • Publication number: 20090240483
    Abstract: A computer program product stored including machine executable instructions stored on machine readable media, the instructions configured for performing automatic logic build processes and implementing autonomic quality checking, by implementing a method including: providing a model repository for holding at least one component; updating the model repository with at least one component; creating a tag for each sub-component of a selected component of the model repository; associating each tag with a latest version of each respective sub-component; and issuing a component submit notice to identify at least one of a dependency and a priority between selected components. A system is also provided.
    Type: Application
    Filed: March 19, 2008
    Publication date: September 24, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Matthew A. Adams, Khary J. Alexander, Matthew G. Pardini
  • Patent number: 7587305
    Abstract: A method includes specifying a first set of interconnected devices associated with a first leaf cell in Verilog syntax, and specifying a second set of interconnected devices associated with a second leaf cell in Verilog syntax. A connection between the first leaf cell and the second leaf cell is also specified in Verilog syntax. This specifies a circuit. The functionality of the logic can be tested by running a logic simulation on the circuit without converting to Verilog syntax. The Verilog syntax, associated with the circuit, can be converted directly from Verilog syntax to a SPICE netlist. The SPICE netlist can be used to simulate the timing and other parameters of the circuit. The Verilog syntax can be used to verify the circuit. Also included are a computer readable medium including an instruction set for the above method, and a data structure necessary to carry out the above method.
    Type: Grant
    Filed: June 26, 2002
    Date of Patent: September 8, 2009
    Assignee: Cray Inc.
    Inventors: Robert J. Lutz, Mark S. Birrittella, Eric C. Fromm, Harro Zimmermann
  • Publication number: 20090222252
    Abstract: An apparatus and method may be used for compiling a hardware logic design into data-driven logic programs to be executed on a data-driven chip. The apparatus may include storage with a library for defining a net-list synthesized by a synthesis tool. The apparatus may also include a data-driven logic verification chip comprising a plurality of logic processors. The apparatus may further include a code generator for adopting heuristics to convert the net-list into data driven logic programs and for allocating hardware resources to balance computing and storage loads across the plurality of logic processors of the verification chip.
    Type: Application
    Filed: February 25, 2009
    Publication date: September 3, 2009
    Applicant: ET International, Inc.
    Inventors: Fei Chen, Guang R. Gao
  • Patent number: 7581199
    Abstract: An integrated circuit design simulation method is provided that takes advantage of the fact that, when an instance of a circuit module has been simulated under a given set of input conditions, and the resulting output values and delays have been evaluated, another instance of the same module need not be re-simulated when it has the same input combination as the prior circuit module instance. The results computed earlier for the earlier circuit module instance can be re-used for the current circuit module instance.
    Type: Grant
    Filed: August 8, 2005
    Date of Patent: August 25, 2009
    Assignee: National Semiconductor Corporation
    Inventors: Tathagato Rai Dastidar, Amir Yashfe, Partha Ray
  • Patent number: 7574684
    Abstract: A design data creating method, for creating design data to which predetermined design constraint requirements are added, includes a display data converting step of converting input design constraint requirements into display data for displaying on a design drawing displayed on a display device; and a control data converting step of converting input design constraint requirements into control data for controlling a CPU.
    Type: Grant
    Filed: October 20, 2006
    Date of Patent: August 11, 2009
    Assignee: Fujitsu Limited
    Inventor: Atsushi Yamane
  • Patent number: 7571086
    Abstract: A netlist description of a circuit is processed to classify some signals of the circuit as essential signals and to classify all other signals of the circuit as non-essential signals. Thereafter when simulating behavior of the entire circuit in response to input signals supplied over some time interval, a simulator saves first simulation data representing behavior of the circuit's essential signals during the time interval. Thereafter the simulator is programmed to re-simulate behavior of only a selected subcircuit of the circuit during only a selected subinterval of the full time interval based on behavior of essential signals described by the first simulation data. During the re-simulation, the simulator saves second simulation data representing behavior of both essential and non-essential signals of the subcircuit to provide a more complete picture of the behavior of the selected subcircuit during the selected subinterval.
    Type: Grant
    Filed: November 4, 2005
    Date of Patent: August 4, 2009
    Assignee: Springsoft USA, Inc.
    Inventors: Ying-Tsai Chang, Tayung Liu, Yu-Chin Hsu
  • Patent number: 7571087
    Abstract: In a design system using virtual hardware models, a filtering manager for filtering execution results and determining which software instructions are candidates for restructuring. In some examples, illegal address range instructions are identified based on exception records and restructured software instructions may redirect memory access to an appropriate memory location thereby enabling the use of hardware device drivers in conjunction with hardware emulations, simulations or virtual models without requiring driver source code modifications. Using different filtering criteria, some or all legal and/or illegal memory access software instructions may be redirected to mapped memory locations enabling control over memory access functions. In some cases, debugging tools may be configured or altered to reduce, limit or disable exception handling trace messages, thereby improving overall processing performance by eliminating or reducing unnecessary or burdensome error or trace report generation.
    Type: Grant
    Filed: February 6, 2008
    Date of Patent: August 4, 2009
    Assignee: Paravirtual Corporation
    Inventor: Ross Wheeler
  • Patent number: 7567137
    Abstract: A method and programmable oscillator model are provided for implementing high frequency clock generation for a simulation environment. The programmable oscillator model includes an internal ring oscillator for generating a high frequency clock. The internal ring oscillator counts a number of clocks and determines when to switch the reference clock. For example, a clock edge time is recorded as a two-byte field, where a high byte records a programmable number of fast clocks per clock edge, and a low byte records a fraction of a clock edge. Each time the reference clock switches a count down counter is loaded with the high byte, and the low byte is added to the current fraction. If the fraction has a carry, an additional fast clock is added to the count down counter.
    Type: Grant
    Filed: December 7, 2007
    Date of Patent: July 28, 2009
    Assignee: International Business Machines Corporation
    Inventors: Lyle Edwin Grosbach, Quentin Gustave Schmierer
  • Publication number: 20090182545
    Abstract: A method for simulating an operation of a digital circuit (01) is described. The method utilizes cycle simulation, wherein in a cycle based simulation model (34) of the digital circuit (01) components (02, 03, 04, 05) of the digital circuit (01) are clocked synchronously every cycle (19) of a functional clock (Clk). According to the invention, real digital circuit (01), i.e. chip or combinatorial logic (01), timing information is included in the cycle simulation by inserting delay latches (15, 16, 17) into the cycle based simulation model (34) of the digital circuit (01), wherein a non-functional clock (Sim clock) is used to clock the delay latches (15, 16, 17), so that each delay latch (15, 16, 17) delays the propagation of a signal (I, J, K) by a cycle (20) of the non-functional clock (Sim clock).
    Type: Application
    Filed: January 9, 2009
    Publication date: July 16, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Joerg Walter, Lothar Felten, Volker Urban, Norbert Schumacher, Marcel Naggatz
  • Patent number: 7558722
    Abstract: A method and system are disclosed for testing for double shift errors in at least one scan chain of flip-flops during a simulation of the design of a digital integrated circuit chip. At the start of the simulation, outputs of each flip-flop in the scan chain are initialized to a same known symbol (e.g., ‘X’). The flip-flops in the scan chain are clocked to shift binary digital symbols (zeros and ones) into the first flip-flop and through the successive flip-flops in the scan chain. During the shifting and clocking process, successive, contiguous pairs of flip-flop outputs are compared, one pair after each clock cycle. A double shift error is declared between the first flip-flop in the pair and the second flip-flop in the pair if the output symbols of the pair are the same.
    Type: Grant
    Filed: November 19, 2002
    Date of Patent: July 7, 2009
    Assignee: Broadcom Corporation
    Inventor: Amar Guettaf
  • Publication number: 20090171645
    Abstract: According to one embodiment, a logical circuit to be simulated includes a timing network and a specific logical device. The timing network transmits a logical value change of an input signal in correspondence with an elapse of time or clock number increments. The specific logical device receives a timing network output signal that appears at an exit node of the timing network, and a logical value change or a logical value after change of the clock. When predetermined constraint information represents a constraint that a time period or the demanded number of clock cycles needed for a transition of a signal level change to pass through a signal path in the timing network is equal to or smaller than a predetermined numerical value (or equal to or larger than a predetermined numerical value), it is checked if the signal input to the specific logical device violates the predetermined constraint information.
    Type: Application
    Filed: October 16, 2008
    Publication date: July 2, 2009
    Inventor: Kenji YOSHIDA
  • Patent number: 7555416
    Abstract: Techniques are described for performing analysis of circuits with nonlinear circuit components such as transistors based on a two-stage Newton-Raphson approach.
    Type: Grant
    Filed: July 12, 2007
    Date of Patent: June 30, 2009
    Assignee: The Regents of the University of California
    Inventors: Chung-Kuan Cheng, Zhengyong Zhu
  • Patent number: 7555689
    Abstract: Improved responses can be generated to scan patterns (e.g., test patterns) for an electronic circuit design having timing exception paths by more accurately determining the unknown values that propagate to observation points in the circuit, where the response is captured. For instance, the responses are determined more accurately by analyzing the effect of sensitizing a timing exception path during each time frame associated with a scan pattern. Path sensitization can be determined based on observing whether values injected at starting points of the timing exception paths due to signal transitions and glitches propagate to their end points. The response can be updated by masking the affected end points and propagating unknown values further in the circuit to determine whether they are captured at observation points of the circuit. For instance, the methods and systems described herein may result in reduced unknowns, improved test coverage and test compression.
    Type: Grant
    Filed: June 28, 2006
    Date of Patent: June 30, 2009
    Inventors: Dhiraj Goswami, Kun-Han Tsai, Mark Kassab, Janusz Rajski
  • Publication number: 20090164197
    Abstract: A method for transforming paths in a logical model to their physical equivalent in a physical model is provided. A logical model is retrieved. All entities in the logical model are mapped. All paths connecting the entities of the logical model are mapped. Tables are created that correspond to the entities in the logical model for traceability. Columns are created that correspond to attributes of the paths in the logical model for traceability. A reduced logical model is created by reducing overlapping paths in the logical model. Virtual logic paths are created where an entity is rolled up, is rolled down, or participates in a many-to-many relationship in the reduced logical model. The reduced logical model is transformed into a physical model.
    Type: Application
    Filed: December 21, 2007
    Publication date: June 25, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: John Matthews, Pat Meehan
  • Patent number: 7552043
    Abstract: According to a method of simulation processing, a simulation model is received that includes a plurality of design entity instances modeling a digital system and one or more instrumentation entity instances, separate from the plurality of design entity instances, that generate instances of instrumentation events for testing purposes during simulation. In response to receiving an exclusion list identifying at least one instance of one or more instrumentation events to be removed from the simulation model, at least one instance of the one or more instrumentation events and associated logic elements are removed from the one or more instrumentation entity instances of the simulation model prior to simulation, such that a more compact simulation model is obtained.
    Type: Grant
    Filed: September 15, 2005
    Date of Patent: June 23, 2009
    Assignee: International Business Machines Corporation
    Inventors: Gabor Bobok, Wolfgang Roesner, Matyas A. Sustik, Derek E. Williams
  • Patent number: 7546561
    Abstract: A system and method for determining scan chain correspondence including defining a reference scan chain having reference latches and a reference constraint, each of the reference latches having a reference latch logic cone, the reference constraint having a reference constraint logic cone and being associated with one of the reference latches; defining an implementation scan chain having implementation latches and an implementation constraint, each of the implementation latches having an implementation latch logic cone, the implementation constraint having an implementation constraint logic cone and being associated with one of the implementation latches; matching known corresponding scan points between the reference scan chain and the implementation scan chain; and determining scan chain functional correspondence between the reference latches and the implementation latches from the reference latch logic cones with any associated reference constraint logic cone and the implementation latch logic cones with an
    Type: Grant
    Filed: May 25, 2006
    Date of Patent: June 9, 2009
    Assignee: International Business Machines Corporation
    Inventors: Travis W. Pouarz, Viresh Paruthi
  • Publication number: 20090144044
    Abstract: A logic simulator includes a storage device and a simulator part. The storage device stores a signal duration delay file which associates first signal duration information indicating duration of an input signal supplied to a logic gate of a logic circuit with first element delay value information indicating a first element delay value. The simulator part extracts first element delay value information associated with the first signal duration information corresponding to second signal duration information indicating duration of an input signal supplied to the logic gate when a logic simulation is performed by referring the signal duration delay file, and performs the logic simulation of the logic circuit based on the extracted first element delay value information. By setting element delay value taking duration of an input signal into account, highly accurate logic simulation can be achieved.
    Type: Application
    Filed: November 26, 2008
    Publication date: June 4, 2009
    Inventors: Yasushi Wataya, Toshihiro Ueda
  • Publication number: 20090132221
    Abstract: Testing a model of a logic circuit model. The testing includes generating valid random input stimulus sequences for a logic circuit model. Enumerating critical resource requirements, enumerating critical resource availabilities does this, and selecting of stimulus sequences and determining legal times for execution of said stimulus sequences based on resource availability. This includes generating a plurality of possible combinations of input stimulus sequences and generating an array representation of critical resource requirements. These are used to generate an array representation of critical resources availabilities.
    Type: Application
    Filed: March 6, 2008
    Publication date: May 21, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Faisal Ahmad, Kevin C. Gower, Anish T. Patel
  • Patent number: 7536289
    Abstract: A method of configuring an information processing system according to the present invention, in an information processing system for realizing one or a plurality of applications, comprises, a step of modeling all of the applications for each certain process level and inputting the models, a step of inputting parameters representing invariability for the inputted models, a step of using the models of the applications and the parameters representing invariability as inputted information and comparing the parameters representing invariability to a boundary condition, and a step of allocating one of the application models to programmable logic and another of the application models to an exclusive-use hardware based on a result of the comparison.
    Type: Grant
    Filed: March 4, 2005
    Date of Patent: May 19, 2009
    Assignee: Panasonic Corporation
    Inventors: Kazuhiro Okabayashi, Minoru Okamoto
  • Patent number: 7533011
    Abstract: A simulation system includes glitch injection circuitry in one or more hardware design units to allow the injection of glitches or noise to evaluate the system's response to errors on signals between the hardware design units. The simulation system includes a stimulation module with a set of drivers to input simulation patterns into the design units. Some inputs to software models are driven by the outputs of software models of another design unit. The stimulation module can monitor these signals driven by the software model but it is difficult for the stimulation module to directly drive these signals. The added glitch circuitry allows injection of errors into the simulated hardware by the stimulation module on signals that are not directly driven by the stimulation module but are driven by the outputs of hardware design units.
    Type: Grant
    Filed: May 21, 2008
    Date of Patent: May 12, 2009
    Assignee: International Business Machines Corporation
    Inventors: Thomas Michael Armstead, Gregory Albert Dancker, Paul Emery Schardt
  • Publication number: 20090112557
    Abstract: A system and method for modifying a simulation model and optimizing an application program to produce valid hardware-identified operating conditions that are matched with simulator-identified operating conditions in order to modify a simulator accordingly is presented. A critical path coverage analyzer includes critical path measurement logic into a simulation model that injects errors into the critical path and provides visibility into the number of times that an application program exercises the critical path. The critical path coverage analyzer uses the critical path measurement logic to optimize an application program to adequately exercise and test the critical paths. Once optimized, the critical path coverage analyzer runs the optimized application program on a hardware device to produce hardware-identified operating conditions. The hardware-identified operating conditions are matched against simulator-identified operating conditions.
    Type: Application
    Filed: October 30, 2007
    Publication date: April 30, 2009
    Inventors: Matthew Edward King, Charles Leverett Meissner, Todd Swanson, Michael Ellett Weissinger
  • Publication number: 20090106010
    Abstract: A method and system of digital circuit functionality recognition for circuit characterization is disclosed. In one embodiment, a method for determining the valid arcs includes receiving a truth table including state information associated with input pins and their associated output pins in the digital circuit. Valid arcs are then determined based on whether a change in each of the input pins causes a change in associated one of the output pins using the received truth table. A first arc table is then formed using state information associated with substantially the determined valid arcs. Redundant arcs are then identified in the first arc table using the associated state information. A second arc table is then formed by removing the state information associated with the redundant arcs from the first arc table.
    Type: Application
    Filed: October 18, 2007
    Publication date: April 23, 2009
    Inventor: BEN VARKEY BENJAMIN
  • Patent number: 7519525
    Abstract: Disclosed is simulation of circuit behavior by running a central electronic core simulation in a high level simulator up to and including initial microload, creation of a post-IML (initial microcode load) state, and transferring the post-initial microcode state from the central electronic core simulation to the post-initial microcode load co-simulator.
    Type: Grant
    Filed: May 11, 2004
    Date of Patent: April 14, 2009
    Assignee: International Business Machines Corporation
    Inventor: Edward C. McCain
  • Patent number: 7519524
    Abstract: In a hardware definition language (HDL) file among one or more files, one or more design entities containing a functional portion of a digital system are specified. The one or more design entities logically contain a plurality of latches having a respective plurality of different possible latch values that each corresponds to a different configuration of the functional portion of the digital system. With one or more statements in the one or more files, a multi-level Dial tree is defined that includes a selective control Dial (SCDial) entity at an upper level that is associated with at least one design entity. The SCDial entity has a Dial input, a plurality of Dial outputs coupled to inputs of the plurality of lower level Dials, and a mapping table indicating a mapping between each of a plurality of possible input values of the Dial input and a respective one of a plurality of sets of output values for the plurality of Dial outputs.
    Type: Grant
    Filed: June 19, 2008
    Date of Patent: April 14, 2009
    Assignee: International Business Machines Corporation
    Inventors: Bradley S. Nelson, Wolfgang Roesner, Derek Edward Williams
  • Patent number: 7516383
    Abstract: An extracting unit extracts unprocessed capturing destination in a circuit. A tracing unit traces an output branch point from a capturing destination and a determining unit determines an estimated failure site and a non-failure site in the circuit. A detecting unit narrows down an estimated failure site using a fail address. It is determined whether an identifying unit has identified a failure site. If the failure site has not been identified, a delay failure simulation is performed and a comparing unit compares the comparison result of the tester measurement and the result in the delay failure simulation to determine consistency between the results. The identifying unit identifies the failure site based on the consistency.
    Type: Grant
    Filed: January 30, 2006
    Date of Patent: April 7, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventor: Mitsuhiro Hirano
  • Patent number: 7512531
    Abstract: A method for specifying reactive systems using Dynamic State Machines (DSMs) is disclosed. The method extends statecharts in three areas. One is the integration of a group of related finite state machines (FSMs) into a single and powerful entity supporting multiple repeatable concurrent communication sessions. The second is the support for composite transitions to model various parallel event patterns or nested event patterns, which occur in the real world, and to significantly improve the readability of state diagrams. The third is the addition of a parallel-OR composite state to support the OR-termination semantics of a parallel composite state.
    Type: Grant
    Filed: April 30, 2003
    Date of Patent: March 31, 2009
    Inventor: Daniel Shia
  • Patent number: 7512912
    Abstract: The following techniques for word-level networks are presented: constraints solving, case-based learning and bit-slice solving. Generation of a word-level network to model a constraints problem is presented. The networks utilized have assigned, to each node, a range of permissible values. Constraints are solved using an implication process that explores the deductive consequences of the assigned range values. The implication process may include the following techniques: forward or backward implication and case-based learning. Case-based learning includes recursive or global learning. As part of a constraint-solving process, a random variable is limited to a single value. The limitation may be performed by iterative relaxation. An implication process is then performed. If a conflict results, the value causing the conflict is removed from the random variable by range splitting, and backtracking is performed by assigning another value to the random variable.
    Type: Grant
    Filed: August 16, 2003
    Date of Patent: March 31, 2009
    Assignee: Synopsys, Inc.
    Inventor: Mahesh Anantharaman Iyer
  • Patent number: 7512918
    Abstract: A method of analyzing multimode delay in an integrated circuit design to produce a timing model for the integrated circuit design, by inputting a net list, IO arc delays, interconnection arc delays, and constant nets with assigned Boolean functions for the integrated circuit design, propagating the constant nets and assigning Boolean conditions to the IO arc delays and the interconnection arc delays, evaluating timing path delays and conditions for the integrated circuit design, creating the integrated circuit design timing model parameters, and outputting the integrated circuit design timing model. The method is especially desirable for netlists with very complicated mixing logics that include muxing of clocks. In particular, RRAMs are such netlists.
    Type: Grant
    Filed: August 17, 2005
    Date of Patent: March 31, 2009
    Assignee: LSI Corporation
    Inventors: Alexander Andreev, Andrey Nikitin, Ranko Scepanovic
  • Patent number: 7509599
    Abstract: An equivalency testing system, for formally comparing an RTLM and HLM, is presented. RTLM and HLM are first converted into DFGs RTLMDFG and HLMDFG. RTLMDFG and HLMDFG are then put into timestep form and are called RTLMts and HLMts. A test bench CSts is selected that couples RTLMts and HLMts. The combination of RTLMts[t], HLMts[t] and CSts[t] can have parts designated as datapath. Parts designated as datapath can be subject to a form of equivalence checking that seeks to prove equivalence by a form of inductive theorem proving. The theorem proving starts from initial conditions for HLMts[t] determined by partial execution of the HLM. CSts can be selected depending upon a classification of RTLMts and HLMts. Techniques for classifying RTLMts and HLMts, and for selecting a suitable CSts, are presented. The classifications can operate on non-DFG representations. The CSts generation techniques can be used with any formal analysis technique.
    Type: Grant
    Filed: June 10, 2005
    Date of Patent: March 24, 2009
    Assignee: Synopsys, Inc
    Inventors: Alfred Koelbl, Carl Preston Pixley
  • Patent number: 7505887
    Abstract: Methods and systems for building a simulation for verifying a design block, including efficient coordination of the control and validation of the operation of a first and second bus of the design block, with the first bus being an interface bus of a processor. An interface description is determined for a bus functional model of the interface bus of the processor. The interface description includes a synchronization bus for coordinating the bus functional model and a hardware description language (HDL) testbench. A hardware specification is generated that couples the first bus of the design block with the interface description, and couples the HDL testbench with the second bus of the design block and with the synchronization bus of the interface description. The simulation for verifying the design block is automatically generated from the bus functional model and the hardware specification.
    Type: Grant
    Filed: January 31, 2006
    Date of Patent: March 17, 2009
    Assignee: Xilinx, Inc.
    Inventors: John A. Canaris, Jorge Ernesto Carrillo, Lester S. Sanders, Yong Zhu
  • Patent number: 7506284
    Abstract: A method for simulating an integrated circuit includes performing a power supply voltage tuning operation to find a power supply voltage at which a simulation of the integrated circuit at an operating frequency passes a functional requirement, identifying a weak signal node based on the simulation result, and performing a size tuning operation on the weak signal node of the integrated circuit.
    Type: Grant
    Filed: January 19, 2006
    Date of Patent: March 17, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seuk-Whan Lee, Moon-Hyun Yoo, Joon-Ho Choi
  • Patent number: 7506286
    Abstract: Techniques and systems for debugging an electronic system having instrumentation circuitry included therein are disclosed. The techniques and systems facilitate analysis, diagnosis and debugging fabricated hardware designs at a Hardware Description Language (HDL) level. Although the hardware designs (which were designed in HDL) have been fabricated in integrated circuit products with limited input/output pins, the invention enables the hardware designs within the integrated circuit products to be comprehensively analyzed, diagnosed, and debugged at the HDL level at speed. The ability to debug hardware designs at the HDL level facilitates correction or adjustment of the HDL description of the hardware designs.
    Type: Grant
    Filed: May 2, 2006
    Date of Patent: March 17, 2009
    Assignee: Synopsys, Inc.
    Inventors: John Mark Beardslee, Nils Endric Schubert, Douglas L. Perry
  • Patent number: 7502728
    Abstract: Code coverage questions are addressed by a code coverage method that instruments an electronic module source design file with coverage probes and gives hierarchical names to the probes, then provides therefrom an instrumented gate level netlist. The instrumented netlist is run on a hardware emulator, executing reset trigger scripts to reset the branch and statement probes, and then a fully initialized design is driven in emulation on a simulated testbench from which the probe values are retrieved. These values can then be evaluated to determine the extent of code coverage. Various forms of coverage are supported including branch, statement, reset trigger and toggle coverage.
    Type: Grant
    Filed: December 20, 2002
    Date of Patent: March 10, 2009
    Assignee: Unisys Corporation
    Inventors: Steven T. Hurlock, Stephen Kun, Robert A. Johnson, Jeremy S. Nichols, Arthur J. Nilson
  • Publication number: 20090063121
    Abstract: A method for optimizing RF coverage includes dividing a floor plan according to a plurality of grids. Radio frequency coverage for each of the plurality of grids is calculated to render a plurality of coverages. First data representative of the plurality of coverage grids is provided to a client. Second data representative of an incremental change in radio frequency coverage for a first grid of the plurality of grids is provided to the client.
    Type: Application
    Filed: August 31, 2007
    Publication date: March 5, 2009
    Applicant: SYMBOL TECHNOLOGIES, INC.
    Inventor: Mahender VANGATI
  • Publication number: 20090055010
    Abstract: The present invention provides a back annotation apparatus for determining the delay value of a logic cell used in a timing simulation in view of the changes in the properties of a transistor element to be disposed at a position overlapped with an electrode pad of a semiconductor IC. The back annotation apparatus comprises: a storage unit storing therein mask layout information that includes information on positions of electrode pads and logic cells in a semiconductor integrated circuit; an identification unit operable to identify, with respect to each of the logic cells, whether the logic cell is to be disposed at a position overlapped with an electrode pad in plan view, based on the mask layout information; and a selection unit operable to select a delay value for the logic cell according to an identification result obtained by the identification unit.
    Type: Application
    Filed: January 25, 2005
    Publication date: February 26, 2009
    Inventor: Masami Tanaka
  • Patent number: 7496820
    Abstract: Method, apparatus, and computer readable medium for generating test vectors for an integrated circuit (IC) under test is described. In one example, a test function is specified using at least one elementary function that encapsulates program code associated with an architecture of the IC under test. An engine is configured with device description data for the IC under test. The engine is executed with the test function as parametric input to generate the test vectors. In one example, the IC under test comprises a programmable logic device (PLD) and the test vectors include configuration data for configuring a pattern in the PLD and at least one test vector for exercising the pattern. The test vectors may be applied directly to the device or through automatic test equipment (ATE). Alternatively, the test vectors may be applied to a IC design simulation of the device.
    Type: Grant
    Filed: March 7, 2006
    Date of Patent: February 24, 2009
    Assignee: Xilinx, Inc.
    Inventors: Conrad A. Theron, Michael L. Simmons, Walter H. Edmondson, Mihai G. Statovici
  • Patent number: 7493544
    Abstract: State spaces are traversed to produce test cases, or test coverage. Test coverage is a test suite of sequences. Accepting states are defined. Expected costs are assigned to the test graph states. Strategies are created providing transitions to states with lower expected costs. Linear programs and other approximations are discussed for providing expected costs. Strategies are more likely to provide access to an accepting state, based on expected costs. Strategies are used to append transitions to test segments such that the new test segment ends in an accepting state.
    Type: Grant
    Filed: January 21, 2005
    Date of Patent: February 17, 2009
    Assignee: Microsoft Corporation
    Inventors: Andreas Blass, Colin L. Campbell, Lev Borisovich Nachmanson, Margus Veanes, Michael Barnett, Nikolai Tillmann, Wolfgang Grieskamp, Wolfram Schulte, Yuri Gurevich
  • Patent number: 7493578
    Abstract: Methods are provided for processing design information of an electronic circuit design. A single path or multiple paths that are produced by a first design tool are an input for the method. Each path includes an ordered set of element names of the electronic circuit design. Each element name of each path is pattern matched with the names of design blocks of the electronic circuit design produced by a second design tool. Data indicative of a path produced by the second design tool that includes the design blocks that are pattern matched to the ordered set of element names is the output of the method.
    Type: Grant
    Filed: March 18, 2005
    Date of Patent: February 17, 2009
    Assignee: Xilinx, Inc.
    Inventors: Roger B. Milne, Alexander R. Vogenthaler, Jeffrey D. Stroomer, Bradley L. Taylor, Alexander Carreira
  • Patent number: 7487076
    Abstract: In a graphical modeling environment, bus signals, which group a plurality of signals together for simplifying a model, include a partial or complete physical definition. Models are simplified by passing bus signals through graphical objects representing functional entities, without degrouping the bus signal. During simulation of the model, code can be generated for the bus signal having a complete definition independent of other components of the graphical model.
    Type: Grant
    Filed: October 31, 2003
    Date of Patent: February 3, 2009
    Assignee: The MathWorks, Inc.
    Inventors: Peter Szpak, Matthew Englehart
  • Patent number: 7484156
    Abstract: An apparatus for automatic testing of a PS/2 interface includes a micro controller unit, a PS/2 port, and a plurality of LEDs. The micro controller unit is coupled with both a data pin and a clock pin of the PS/2 interface. The LEDs coupled to the micro controller unit simulate functions of a keyboard. A related method for testing the PS/2 interface is also provided.
    Type: Grant
    Filed: June 26, 2006
    Date of Patent: January 27, 2009
    Assignees: Hong Fu Jin Precision Industry (Shenzhen) Co., Ltd., Hon Hai Precision Industry Co., Ltd.
    Inventors: Yong-Xing You, Feng-Long He, Yan-Feng Luo, Qian-Sheng Liu
  • Patent number: 7483824
    Abstract: A self-checking test generator program creates a self-checking test program that can test a device under test (DUT). The self-checking test generator selects instructions for a test. Selected instructions are executed on a software DUT model to generate results that can be self-checked by other instructions such as compare and branch instructions. The software DUT model has fuzzy models and unknown models for blocks in the DUT. Fuzzy models generate expected outputs for a block of the DUT. Fuzzy models may propagate unknown data from their inputs to their outputs. Unknown models do not predict expected outputs. Instead, unknown models always output unknown (X). Over time, as more of the DUT logic is modeled, unknown models may be replaced with fuzzy models.
    Type: Grant
    Filed: March 3, 2006
    Date of Patent: January 27, 2009
    Assignee: Azul Systems, Inc.
    Inventor: Eric L. Hill
  • Patent number: 7480604
    Abstract: A system is provided for modeling an integrated circuit including at least one insulated-gate field-effect transistor. The system includes generator means for defining a parameter representing mechanical stresses applied to the active area of the transistor, and processing means for determining at least one of the electrical parameters of the transistor based at least partially on the stress parameter. Also provided is a method of modeling an integrated circuit including at least one insulated-gate field-effect transistor, and a method of producing an integrated circuit including at least one insulated-gate field-effect transistor.
    Type: Grant
    Filed: January 9, 2003
    Date of Patent: January 20, 2009
    Assignee: STMicroelectronics S.A.
    Inventor: Raul Andres Bianchi
  • Patent number: 7480602
    Abstract: The present invention provides a system verification system that automatically generates a behavior model modeling the system under test in terms of actions of a test case and a range of expected reactions corresponding to those actions. In this regard, the system verification system obtains a set of actions and individual reactions corresponding to the actions from a plurality of runs of a test case for the system under test, and automatically generates the behavior model representing the system under test in terms of the set of actions and a range of expected reactions each range corresponding one of the actions. The range of expected reactions generalizes and includes all or most of the individual reactions corresponding to said one of the actions.
    Type: Grant
    Filed: January 20, 2005
    Date of Patent: January 20, 2009
    Assignee: The Fanfare Group, Inc.
    Inventors: Paul Kingston Duffie, Patrick Hornberger, Carl Hubbard, Satomi Okazaki, Pawan Singh
  • Patent number: 7480879
    Abstract: System and method for analyzing substrate noise is disclosed, which is capable of accepting inputs of increasing complexity and granularity. During the early phases, the tool can accept coarse circuit descriptions, such as gate level netlists. The tool is capable of generating rudimentary substrate models based on estimated die size, allowing the designer to have an early indication of potential substrate noise issues. During the middle phases, the tool can accept more accurate circuit descriptions, such as a SPICE netlist. A more detailed substrate model can be generated, which considers layout information, thereby allowing the designer to make layout and circuit modifications before the circuit is completed. Lastly, during final verification, the tool can accept an even more accurate netlist, such as a SPICE netlist that includes parasitic capacitance. The tool can also accept a more detailed substrate model and provides the substrate noise analysis necessary to finalize the design.
    Type: Grant
    Filed: April 7, 2006
    Date of Patent: January 20, 2009
    Assignee: Massachusetts Institute of Technology
    Inventors: Nisha Checka, Anantha Chandrakasan, Rafael Reif
  • Patent number: 7480608
    Abstract: Disclosed herein is a method of managing data results of simulation processing of a hardware description language (HDL) model based upon keywords. In accordance with the method, a restriction list associated with the HDL model is received. The HDL model has a maximum number of possible keyword/value pairs sets for which result data can be obtained, and the restriction list specifies a fewer number of keyword/value pair sets for which the result data can be queried based upon at least one keyword. In response to receipt of result data obtained by simulation of the HDL model, the result data are stored within a data storage subsystem by reference to the restriction list, such that particular result data attributable to each of the plurality of keyword/value sets is separately accessible.
    Type: Grant
    Filed: March 17, 2008
    Date of Patent: January 20, 2009
    Assignee: International Business Machines Corporation
    Inventors: Carol Ivash Gabele, Wolfgang Roesner, Derek Edward Williams
  • Patent number: 7478028
    Abstract: A programmed computer searches for functional defects in a description of a circuit undergoing functional verification in the following manner. The programmed computer simulates the functional behavior of the circuit in response to a test vector, automatically restores the state of the simulation without causing the simulation to pass through a reset state, and then simulates the functional behavior of the circuit in response to another test vector. A predetermined rule can be used to identify test vectors to be simulated, and the predetermined rule can depend upon a measure of functional verification, including the number of times during simulation when a first state transition is performed by a first-controller at the same time as a second state transition is performed by a second controller. During simulation of the test vectors, manually generated tests or automatically generated checkers can monitor portions of the circuit for defective behavior.
    Type: Grant
    Filed: January 12, 2005
    Date of Patent: January 13, 2009
    Inventors: Chian-Min Richard Ho, Robert Kristianto Mardjuki, David Lansing Dill, Jing Chyuarn Lin, Ping Fai Yeung, Paul II Estrada, Jean-Charles Giomi, Tai An Ly, Kalyana C. Mulam, Lawrence Curtis Widdoes, Jr., Paul Andrew Wilcox
  • Patent number: 7478029
    Abstract: A cable simulator that comprises an input device configured to receive a communication signal. The cable simulator further comprises a circuit configured to simulate attenuation in both the differential mode and common mode components of a communication signal.
    Type: Grant
    Filed: November 3, 2004
    Date of Patent: January 13, 2009
    Assignee: Adtran, Inc.
    Inventor: Daniel M. Joffe