Floorplanning Patents (Class 716/118)
  • Patent number: 8349709
    Abstract: A method of layout of pattern includes the following processes. A graphic data of a first wiring in a first area of a semiconductor wafer is extracted. The first area is a semiconductor chip forming area. The first area is surrounded by a scribed area of the semiconductor wafer. The first area includes a second area. The second area is bounded with the scribed area. The second area has a second distance from a boundary between the semiconductor chip forming area and the scribed area to an boundary between the first area and the second area. A first dummy pattern in the first area is laid out. The first dummy pattern has at least a first distance from the first wiring. A second dummy pattern in the second area is laid out. The second dummy pattern has at least the first distance from the first wiring. The second dummy pattern has at least a third distance from the first dummy pattern.
    Type: Grant
    Filed: May 18, 2010
    Date of Patent: January 8, 2013
    Assignee: Elpida Memory, Inc.
    Inventors: Michio Inoue, Yorio Takada
  • Publication number: 20130002327
    Abstract: Standard cells that include transistors subject to aging as a result of BTI-related operating conditions are identified and replaced with BTI-resistant standard cells, for example. The BTI-resistant standard cells are typically functionally equivalent circuits (such as circuits included in standard cells in a design library) and are arranged to ensure that critical transistors are protected (e.g., by either extending recovery times and/or turning the transistor off in response to a critical edge transition).
    Type: Application
    Filed: June 11, 2012
    Publication date: January 3, 2013
    Applicant: TEXAS INSTRUMENTS, INCORPORATED
    Inventor: Palkesh Jain
  • Patent number: 8347253
    Abstract: A design support method for causing a computer using layout data for providing a layout in which macro cells are arranged and in which power supply wirings are formed at certain intervals in each wiring layer to execute, the method including: extracting a set of adjacent macro cells from the layout data; specifying a region located between macro cells that constitute the set of adjacent macro cells extracted in the extracting step from among row regions included in the layout represented by the layout data; detecting a power supply wiring of a specific wiring layer in a projection area located above the region specified in the specifying step, the specific wiring layer being higher than a bottom layer of the layout represented by the layout data; and outputting a region where no power supply wiring of the specific wiring layer is detected in the detecting step.
    Type: Grant
    Filed: June 20, 2012
    Date of Patent: January 1, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Kenji Kumagai, Jun Suda
  • Patent number: 8347258
    Abstract: A package includes a die and at least one further die. The die has an interface configured to receive a transaction request from the further die via an interconnect and to transmit a response to the transaction request to said further die via the interconnect. The die also has mapping circuitry which is configured to allocate to the received transaction a local source identity information as source identity information, the local source identity information comprising one of a set of reusable local source identity information. This ensures the order of transactions tagged with a same original source identity and target and allows transactions tagged with different source identifiers to be processed out of order.
    Type: Grant
    Filed: February 16, 2011
    Date of Patent: January 1, 2013
    Assignees: STMicroelectronics (Grenoble 2) SAS, STMicroelectronics (R&D) Ltd.
    Inventors: Ignazio Antonino Urzi, Philippe D'Audigier, Olivier Sauvage, Stuart Ryan, Andrew Michael Jones
  • Patent number: 8347256
    Abstract: A circuit design assist system that receives a user instruction for registering an interface section of at least two circuits as a template, and generates a plurality of circuit patterns of the interface section, each pattern having a different combination of electrical properties of at least one device included in the interface section for evaluation. When an evaluation result indicates that the interface section operates normally for each of the circuit patterns, the circuit design assist system registers the interface section as the template.
    Type: Grant
    Filed: September 14, 2010
    Date of Patent: January 1, 2013
    Assignee: Ricoh Company, Limited
    Inventors: Masahiko Kunimoto, Kazuaki Suzue, Satoko Sakai
  • Patent number: 8347252
    Abstract: An automated method for estimating layout-induced variations in threshold voltage in an integrated circuit layout. The method begins with the steps of selecting a diffusion area within the layout for analysis. Then, the system identifies Si/STI edges on the selected area as well as channel areas and their associated gate/Si edges. Next, the threshold voltage variations in each identified channel area are identified, which requires further steps of calculating threshold voltage variations due to effects in a longitudinal direction; calculating threshold voltage variations due to effects in a transverse direction; and combining the longitudinal and transverse variations to provide an overall variation. Finally, a total variation is determined by combining variations from individual channel variations.
    Type: Grant
    Filed: July 28, 2009
    Date of Patent: January 1, 2013
    Assignee: Synopsys, Inc.
    Inventors: Victor Moroz, Dipankar Pramanik
  • Patent number: 8341586
    Abstract: Disclosed is a method, system, and computer program product for routing, modeling routes, and measuring congestion. In some embodiments, Gcells are implemented with reduced number of nodes to facilitate route modeling and congestion measurement. Some embodiments are particularly suitable for direct congestion and routing analysis of diagonal routing paths. In this way, congestion analysis can be directly performed along diagonal boundaries for diagonal routes, without requiring association with Gcell boundaries on Manhattan routing layers.
    Type: Grant
    Filed: November 3, 2009
    Date of Patent: December 25, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventors: Jonathan Frankle, John H. Gilchrist, III, Anish Malhotra
  • Patent number: 8341573
    Abstract: A method of designing an integrated circuit and a model of an integrated circuit block, an electronic design automation tool, an apparatus and a computer-readable medium are disclosed herein. In one embodiment, the method of designing an integrated circuit includes: (1) generating a timing budget for the integrated circuit employing designer input of the integrated circuit, (2) establishing design constraints for a block of the integrated circuit employing the timing budget, (3) creating an input and output timing budget for the block employing the design constraints, (4) combining implementation information for the integrated circuit based on designer knowledge with the input and output timing budget to generate an updated input and output timing budget and (5) generating a model of the block based on the updated input and output timing budget.
    Type: Grant
    Filed: October 15, 2010
    Date of Patent: December 25, 2012
    Assignee: LSI Corporation
    Inventors: Vishwas M. Rao, Joseph J. Jamann, James C. Parker
  • Publication number: 20120317532
    Abstract: An integrated circuit design tool apparatus including a processing resource arranged to support a circuit simulator, a circuit simulator interrogator, and a well distance calculator is provided. The circuit simulator interrogator communicates first and second well distance values separately to the circuit simulator and receives first and second performance parameter value back from the circuit simulator interrogator in response. The well distance calculator determines a performance parameter limit value, and projects, substantially linearly, a well distance change value in respect of the performance parameter limit value using the first and second performance parameter values, the performance parameter limit value and a trial well distance change value. Also, a well distance change characterising equation using the well distance change value projected is used in order to obtain the minimum well distance value associated with the performance parameter limit value.
    Type: Application
    Filed: February 16, 2010
    Publication date: December 13, 2012
    Applicant: Freescale Semiconductor, Inc.
    Inventor: Pascal CAUNEGRE
  • Patent number: 8332789
    Abstract: A method may include receiving an input from an optimization control that indicates a value along a scale, wherein the value is indicative of a design tradeoff between at least optimization for a first parameter of an electrical design and an optimization for a second parameter of the electrical design, wherein the value places an emphasis on the first parameter and an emphasis on the second parameter such that when the value on the scale is closer to the first parameter a larger emphasis is placed on the first parameter of the electrical design and when the value on the scale is closer to the second parameter a larger emphasis is placed on the second parameter of the electrical design. The method may further include choosing components for the electrical design based on the value indicated using the optimization control, the emphases affecting the components selected for the electrical design.
    Type: Grant
    Filed: May 10, 2011
    Date of Patent: December 11, 2012
    Assignee: National Semiconductor Corporation
    Inventors: Jeffrey Robert Perry, Malcolm Humphrey, Mark Davidson, Dien Mac, Denislav D. Petkov
  • Patent number: 8321827
    Abstract: In one embodiment, there is provided a method for a computer aiding a design of a power supply that includes extracting data of one of a plurality of power supplies of an apparatus from product data about the apparatus, extracting data of a power supply system from power supply system data, the one of the plurality power supplies system is not allocated to any of the plurality of power supplies of the apparatus and associating the extracted data of the power supply with the extracted data of the power supply system in power supply allocation result data.
    Type: Grant
    Filed: January 25, 2010
    Date of Patent: November 27, 2012
    Assignee: Fujitsu Limited
    Inventor: Mitsuru Sato
  • Patent number: 8321828
    Abstract: A method of forming an integrated circuit structure on a chip includes extracting an active layer from a design of the integrated circuit structure, forming a guard band conforming to the shape of the active layer, the guard band surrounds the active layer, and the guard band is spaced from the active layer at a first spacing in the X-axis direction and at a second spacing in the Y-axis direction, removing any part of the guard band that violates design rules, removing convex corners of the guard band, and adding dummy diffusion patterns into the remaining space of the chip outside the guard band. The first and second spacing can be specified as the same spacings in a Spice model characterization of the integrated circuit structure. The dummy diffusion patterns with different granularities can be added so that the diffusion density is substantially uniform over the chip.
    Type: Grant
    Filed: January 8, 2010
    Date of Patent: November 27, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Chan-Hong Chern
  • Publication number: 20120297353
    Abstract: A patterning method includes defining, in the case of an electric current which exceeds an allowable limit flowing between first conduction type well regions arranged in a semiconductor substrate, a first pattern between the first conduction type well regions; defining a second pattern by removing, in the case of a first region in which arrangement is inhibited being in the first pattern, the first region from the first pattern; defining a third pattern by removing, in the case of a second region which exceeds a fabrication limit being in the second pattern, the second region from the second pattern; and using the third pattern as a dummy active region in a second conduction type well region arranged in the semiconductor substrate.
    Type: Application
    Filed: August 6, 2012
    Publication date: November 22, 2012
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventors: Mitsuaki IGETA, Masahiro SUEDA, Rikio TAKASE, Akihiro USUJIMA
  • Patent number: 8312398
    Abstract: The present invention is directed towards designing integrated circuit and provides systems and methods for lithography-aware floorplanning. According to one embodiment of the invention, a method for circuit floorplanning is provided. The method comprises generating a floorplan through a floorplanner, performing a lithography-analysis within the floorplanner on at least a portion of the floorplan, and generating one or more violations that result from the lithography-analysis. Some embodiment, in addition to viewing a floorplan, further comprise of modifying the floorplan. Furthermore, some embodiments provide a method that further comprises fixing the violations that result from the lithography analysis.
    Type: Grant
    Filed: April 15, 2009
    Date of Patent: November 13, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventors: Chayan Majumder, Pawan Fangaria
  • Patent number: 8307321
    Abstract: A method for dummy metal and dummy via insertion is provided. In one embodiment, dummy metals are inserted using a place and route tool, where the place and route tool has timing-awareness. Then, dummy vias arrays are inserted inside an overlap area of dummy metals using a design-rule-checking utility. Fine-grained dummy vias arrays are inserted in available space far away from main patterns. The dummy-patterns resulting from the inserted dummy vias are compressed using the design-rule-checking utility to reduce the size of a graphic data system file generated from the integrated circuit design. The dummy vias can be inserted with relaxed via spacing rules. The dummy metals are inserted with a constant line-end spacing between them for better process control and the maximum length of the dummy metal can be limited for smaller coupling effects. The dummy vias can have various sizes and a square or rectangular shape.
    Type: Grant
    Filed: March 22, 2010
    Date of Patent: November 6, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hung-Yi Liu, Chung-Hsing Wang, Chih-Chieh Chen, Jian-Yi Li
  • Patent number: 8302060
    Abstract: A system includes a computer readable storage medium and a processor. The computer readable storage includes data representing an input/output (“I/O”) cell of a first type for modeling and/or fabricating a semiconductor device. The I/O cell of the first type includes circuitry for providing a first plurality of functions. The processor is in communication with the computer readable storage medium and is configured to select the I/O cell of the first type, arrange a plurality of the I/O cells of the first type on a model of an semiconductor device, and store the model of the semiconductor device including the plurality of the I/O cells of the first type in the computer readable storage medium.
    Type: Grant
    Filed: November 17, 2010
    Date of Patent: October 30, 2012
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Renjeng Chiang, Chih-Hsien Chang
  • Patent number: 8302058
    Abstract: Methods, computer programs, and Integrated Circuits (IC) for minimizing Simultaneous Switching Noise (SSN) in the design of an IC are presented. In one embodiment, the method includes moving a candidate pin of the IC in an initial input/output (I/O) layout to create a candidate I/O layout. Further, in one operation the method calculates a first performance cost for the initial I/O layout and a second performance cost for the candidate I/O layout. The first and the second performance costs are based on an SSN cost for the initial layout and on an SSN cost for the candidate layout respectively. The method selects the layout to design the IC that has the lowest performance cost. The method operations are performed during the placement phase of an IC Computer Aided Design (CAD) tool.
    Type: Grant
    Filed: September 11, 2009
    Date of Patent: October 30, 2012
    Assignee: Altera Corporation
    Inventors: Michael Howard Kipper, Joshua David Fender, Navid Azizi
  • Patent number: 8296716
    Abstract: A method implemented by a computer layout software for setting the width of the printed circuit board trace is disclosed. The method selects one from several traces set on the printed circuit board traces, obtains the corresponding trace name of the selected trace, obtains the device pin connected to the selected trace, acquires the pad corresponding to the device pin, reads the width of the pad, and adjusts the width of the pad.
    Type: Grant
    Filed: December 29, 2010
    Date of Patent: October 23, 2012
    Assignee: Inventec Corporation
    Inventors: Yi-Hsin Hsieh, Yu-Chuan Chang, Hui-Ling Chen
  • Patent number: 8296707
    Abstract: A method, system and computer program product are provided for implementing spare latch placement quality (SLPQ) determination in a floor plan design of an integrated circuit chip. A spare latch placement quality (SLPQ) metric data function is defined and compared to a spare latch placement input with a series of calculations performed. The spare latch placement quality (SLPQ) determination is made based upon the compared SLPQ metric data function and the spare latch placement input. Then associated reports including textual and visual reports are generated responsive to the SLPQ determination. In addition, a new spare latch placement can be constructed with an algorithm responsive to the SLPQ determination.
    Type: Grant
    Filed: November 17, 2010
    Date of Patent: October 23, 2012
    Assignee: International Business Machines Corporation
    Inventors: Michael David Amundson, Craig Marshall Darsow, Eldon Gale Nelson, Dennis Martin Rickert
  • Patent number: 8296705
    Abstract: A method includes receiving instructions for designing a ROM array, generating netlists for the ROM array, generating a data file representing a physical layout of the ROM array on a semiconductor wafer, and storing the data file in a computer readable storage medium. The instructions for the ROM array define a layout for a first unit including a first bit cell coupled to a first word line, a bus that may be coupled and uncoupled to a first power supply having a first voltage level, a layout for a second unit coupled to a second word line, and a layout for a third unit having an isolation device and being configured to share a bit line contact with the second unit or another third unit. The layout for the second unit is configured to be arranged at an edge of the ROM array and includes a dummy device.
    Type: Grant
    Filed: January 7, 2010
    Date of Patent: October 23, 2012
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Chen-Lin Yang
  • Patent number: 8286115
    Abstract: A system for creating layout and wiring diagrams for an integrated circuit (IC) includes a placement engine configured to receive a hierarchical schematic and to create a placed layout. The system also includes a flat layout engine configured to receive the hierarchical schematic and to create a flat layout and a back annotation engine coupled to the placement engine and the flat layout engine, the back annotation engine configured to receive the hierarchical placed layout and the flat unplaced layout and to create a flat placed layout there from.
    Type: Grant
    Filed: December 9, 2008
    Date of Patent: October 9, 2012
    Assignee: International Business Machines Corporation
    Inventors: Holger Wetter, Maarten Boersma, Wilhelm Haller, Armin Windschiegl
  • Patent number: 8281270
    Abstract: A method for proximity-aware circuit design where a set of layout constraint values that satisfy predetermined performance or yield goals is determined in accordance with a layout effect model. One of the layout constraint values is then selected as a constraint input to layout design, and a design layout is performed with the selected layout constraint value to provide a semiconductor circuit design for the semiconductor circuit. The set of layout constraint values can be determined by varying an instance parameter of the layout effect model to determine a set of instance parameters that satisfy the at least one predetermined performance or yield goal in accordance with the layout effect model, and determining layout constraints associated with each instance parameter of the set of instance parameters, thus providing a number of candidates in a design space that can be evaluated according to performance and/or yield tradeoffs.
    Type: Grant
    Filed: August 27, 2010
    Date of Patent: October 2, 2012
    Assignee: Solido Design Automation Inc.
    Inventors: Patrick G. Drennan, Ryan Silk, Joel Cooper, Jeffrey Dyck, Samer Sallam, Trent Lome McConaghy
  • Patent number: 8266567
    Abstract: A method of modification of a semiconductor layout is provided. The layout comprises objects of semiconductor material with corners and edges. The method comprises a step of receiving (61) a set of proximities, triggers and design rules, the proximities indicating relations between neighboring edges and/or corners, the triggers defining boundaries for the modification within which boundaries the proximities are valid, the design rules describing physical requirements for the semiconductor layout. The method further comprises a step of generating (62) a set of constraints, based on the received proximities, triggers and design rules, each constraint in the set of constraints defining a limit within which the semiconductor layout may be modified without changing the proximities. Then the set of constraints to obtain a modified semiconductor layout is solved (63).
    Type: Grant
    Filed: June 30, 2008
    Date of Patent: September 11, 2012
    Assignee: Sagantec Israel Ltd.
    Inventors: Farid El Yahyaoui, Jozefus Godefridus Gerardus Van Gisbergen
  • Patent number: 8261225
    Abstract: A semiconductor integrated circuit includes a first transistor which is formed of a first gate extending in a first direction and a first diffusion region and which is capable of being active, a second transistor which is formed of a second gate extending in the first direction and a second diffusion region and which is arranged adjacent to the first transistor in a second direction intersected at a right angle with the first direction, and a third gate which extends in the first direction and which is arranged adjacent in the second direction to the first transistor on an opposite side to the second transistor. A space between the first gate and the second gate is larger than a space between the first gate and the third gate.
    Type: Grant
    Filed: March 1, 2010
    Date of Patent: September 4, 2012
    Assignee: Panasonic Corporation
    Inventors: Tetsurou Toubou, Nana Okamoto, Junichi Yano
  • Patent number: 8261223
    Abstract: A placer produces a global placement plan specifying positions of cell instances and orientations of macros within an integrated circuit (IC) by initially clusterizing cell instances and macros to form a pyramidal hierarchy of blocks. Then the placer iteratively repeats the declusterization and routability improvement process from the highest level to the lowest level of the hierarchy. An objective function is provided in Cartesian coordinate for representing the position of each movable instance and in polar coordinate for representing the orientation of a macro relative to its the center. For each movable instance and each rotatable macro, its position or orientation is determined by conjugate gradient method to minimize total wire length. Finally, the placer uses a look-ahead legalization technique to rotate rotatable macros to legal orientations and move cell instances to legal positions in the end of global placement.
    Type: Grant
    Filed: April 25, 2011
    Date of Patent: September 4, 2012
    Assignees: Springsoft Inc., Springsoft USA, Inc.
    Inventors: Meng-Kai Hsu, Yao-Wen Chang, Tung-Chieh Chen
  • Patent number: 8261226
    Abstract: A scaled network flow graph is constructed, including a plurality of nodes and a plurality of edges. The plurality of nodes correspond to: (i) a pseudo device pin node for each pair of corresponding paired device pins; (ii) a pseudo bottom surface metal node for each pair of bottom surface metal pins on each of multiple routing layers; (iii) a source node connected to each of the pseudo device pin nodes; (iv) a sub-sink node for each pair of the paired bottom surface metal pins (each of the sub-sink nodes is connected to corresponding ones of the pseudo bottom surface metal nodes for each of the pairs of bottom surface metal pins on each of the multiple routing layers); and (v) a sink node connected to the sub-sink nodes. A capacity and a cost are assigned to each of the edges of the scaled network flow graph. A min-cost-max-flow technique is applied to the scaled network flow graph with the assigned capacities and costs to obtain an optimal flow solution.
    Type: Grant
    Filed: July 20, 2011
    Date of Patent: September 4, 2012
    Assignee: International Business Machines Corporation
    Inventors: Wiren Dale Becker, Ruchir Puri, Haoxing Ren, Hua Xiang, Tingdong Zhou
  • Patent number: 8261224
    Abstract: Components are inserted into a cell-based current chin design with multiple levels of nested hierarchy. A selection of components having various silicon densities to insert into the current chip design is received. The components are inserted into the current chip design such that the components do not touch or overlap existing circuits or silicon shapes in the current chip design. The components are inserted such that components having highest silicon densities are placed further away from the existing circuits or silicon shapes than components having lower silicon densities.
    Type: Grant
    Filed: February 20, 2008
    Date of Patent: September 4, 2012
    Assignee: International Business Machines Corporation
    Inventors: Frank Malgioglio, Christopher J. Berry
  • Patent number: 8255859
    Abstract: Multi-voltage circuit design verification segregates design elements into iso-voltage-rail blocks. Information on cross-over connections between the iso-voltage-rail blocks is obtained. Voltage effects are simulated in the circuit design, and, based on the cross-over information, the simulation results are modified. This yields more accurate results of simulations for multi-voltage circuit designs.
    Type: Grant
    Filed: May 18, 2009
    Date of Patent: August 28, 2012
    Assignee: Synopsys, Inc.
    Inventors: Harsh Chilwal, Srikanth Jadcherla, Sriram Kotni, Prapanna Tiwari
  • Patent number: 8245172
    Abstract: A method for defining and producing a power grid structure (having stripe, rail, and via components) of an IC. The method reduces the number of vias in the power grid structure and the diagonal wiring blockage caused by the vias while still meeting design specifications. Other embodiments provide a method for locating vias in the power grid structure in such a way as to be especially beneficial to 45° or 135° diagonal wiring paths. The method includes processes of a power grid planner, power grid router, power grid verifier, and global signal router that are used iteratively to define and produce a power grid structure. Other embodiments of the invention provide for arrangements of vias in via arrays where diagonal wiring paths are facilitated near the edges of the via arrays. A bounding box enclosing these via arrays have an aspect ratio that is approximately equal to 1.
    Type: Grant
    Filed: November 2, 2009
    Date of Patent: August 14, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventor: Hengfu Hsu
  • Patent number: 8245176
    Abstract: High density circuit modules are formed by stacking integrated circuit (IC) chips one above another. Unused input/output (I/O) locations on some of the chips can be used to connect other I/O locations, resulting in decreased impedance between the chips. Additional apparatus, systems, and methods are disclosed.
    Type: Grant
    Filed: August 12, 2011
    Date of Patent: August 14, 2012
    Assignee: Micron Technology, Inc.
    Inventor: Paul A. Silvestri
  • Patent number: 8239803
    Abstract: A layout method of a semiconductor integrated circuit by using cell library data includes specifying a gate in a predetermined cell as a reference gate, and automatically arranging a plurality of cells by a computer such that a number of gates arranged in an area in a predetermined distance from the reference gate meets a preset gate data density condition.
    Type: Grant
    Filed: July 27, 2011
    Date of Patent: August 7, 2012
    Assignee: Renesas Electronics Corporation
    Inventor: Naohiro Kobayashi
  • Patent number: 8239802
    Abstract: A system and method for computer-aided design of semiconductor integrated circuit devices provides for having dummy vias beneath UBM of bump cells to prevent delamination at the bump cell sites during bonding. The dummy vias are inserted into the design and bump cell placement occurs during the floorplanning stage and prior to placement and routing of the active integrated circuit components. In this manner, a sufficiently high via density is achieved and design information on the bump cells including the dummy vias is provided to a computer-aided design, CAD, system along with program instructions for carrying out the indicated sequence of design operations.
    Type: Grant
    Filed: October 7, 2009
    Date of Patent: August 7, 2012
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Hung-Yi Liu, Chung-Hsing Wang, Agrawal Aditya Binodkumar
  • Patent number: 8239805
    Abstract: Methods of designing an IC and a hierarchical design flow generator are disclosed. In one embodiment, a method includes: (1) partitioning a design implementation flow for an IC into a late design flow portion and an early design flow portion employing a processor, (2) dividing components of the late design flow portion and the early design flow portion into a functional block implementation section and a top level implementation section employing the processor, (3) aligning dependencies between the functional block implementation sections and the top level implementation sections in both of the early design flow portion and the late design flow portion employing the processor and (4) implementing a layout for the IC based on the early and the late design flow portions employing the processor.
    Type: Grant
    Filed: July 27, 2009
    Date of Patent: August 7, 2012
    Assignee: LSI Corporation
    Inventors: Vishwas M. Rao, James C. Parker
  • Patent number: 8234612
    Abstract: Spare cells are placed in an IC design by assigning different spare utilization rates to logic cones, applying the rates to corresponding spare cell regions surrounding cells in the cones, identifying any overlap of regions from different logic cones, and inserting a spare cell at the overlapping region having the highest spare utilization rate. The best location for the spare cell is computed using a hypergraph wherein the cells are edges and the regions are nodes. Any node that is dominated by another node is removed and its edge is extended to the dominating node. The spare cell is inserted in the region having the most edges (the edges can be weighted). The process is repeated iteratively, updating the hypergraph by removing nodes connected to spare cell location, and inserting the next spare cell at a region corresponding to the node which then has the greatest number of connected edges.
    Type: Grant
    Filed: August 25, 2010
    Date of Patent: July 31, 2012
    Assignee: International Business Machines Corporation
    Inventors: Benjiman L. Goodman, Nathaniel D. Hieter, Jeremy T. Hopkins, Samuel I. Ward
  • Patent number: 8230377
    Abstract: A computer-implemented method of globally placing a circuit design on a programmable integrated circuit (IC) includes dividing, by a placement system, the programmable IC into a grid comprising a plurality of cells, assigning each component of a selected component type of the circuit design to one of a plurality of control set groups according to a control set of the component, and calculating a force including a control set force that depends upon overlap of control sets within the plurality of cells. The method further can include applying the force to at least one selected component of the circuit design and assigning components of the circuit design to locations on the programmable IC by solving a set of linear equations that depend upon application of the force to the at least one selected component to create a global placement. The circuit design including the global placement can be output.
    Type: Grant
    Filed: April 24, 2009
    Date of Patent: July 24, 2012
    Assignee: Xilinx, Inc.
    Inventors: Wei Mark Fang, Srinivasan Dasasathyan
  • Patent number: 8230381
    Abstract: With a conventional method for designing cell layout, it is necessary to give relative positional information in advance to all cells to be arranged. Furthermore, the conventional method is troublesome because it is necessary to correct relative positional information of cells after confirming a result of temporary layout. Therefore, it takes time to obtain a layout result. To avoid these problems, cells of a specific type specified from outside, or cells satisfying specific conditions, are extracted and arranged first or limited to a layout position by specifying a layout position, then arranging the remaining cells using a general layout algorithm.
    Type: Grant
    Filed: May 4, 2010
    Date of Patent: July 24, 2012
    Assignee: Hitachi, Ltd.
    Inventors: Katsuyuki Itoh, Hironori Iwamoto
  • Patent number: 8230376
    Abstract: A design support method for causing a computer using layout data for providing a layout in which macro cells are arranged and in which power supply wirings are formed at certain intervals in each wiring layer to execute, the method including: extracting a set of adjacent macro cells from the layout data; specifying a region located between macro cells that constitute the set of adjacent macro cells extracted in the extracting step from among row regions included in the layout represented by the layout data; detecting a power supply wiring of a specific wiring layer in a projection area located above the region specified in the specifying step, the specific wiring layer being higher than a bottom layer of the layout represented by the layout data; and outputting a region where no power supply wiring of the specific wiring layer is detected in the detecting step.
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: July 24, 2012
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Kenji Kumagai, Jun Suda
  • Patent number: 8225247
    Abstract: Systems and methods are disclosed to automatically design a custom integrated circuit includes receiving a specification of the custom integrated circuit including computer readable code and one or more constraints on the custom integrated circuit; automatically devising a processor architecture and generating a processor chip specification uniquely customized to the computer readable code which satisfies the constraints; and synthesizing the chip specification into a layout of the custom integrated circuit.
    Type: Grant
    Filed: July 13, 2010
    Date of Patent: July 17, 2012
    Inventors: Satish Padmanabhan, Pius Ng, Anand Pandurangan, Suresh Kadiyala, Ananth Durbha, Tak Shigihara
  • Patent number: 8225260
    Abstract: A programmable analog tile integrated circuit placement tool allows a user to manipulate a graphical representation of a first power management integrated circuit (PMIC) tile with respect to a graphical representation of a second PMIC tile in a proposed Multi-Tile Power Management Integrated Circuit (MTPMIC). The novel PMIC tiles have pre-defined physical structures including a bus portion and a memory structure for storing configuration information for configuring the tile. When appropriately placed in a MTPMIC, the bus portions of the selected tiles automatically form a standardized bus that accommodates all signal communication required for a functioning MTPMIC. A remote user with minimal training in analog circuit design may command the placement of individual tiles in a proposed MTPMIC layout. Upon receiving a user response indicating satisfaction with the placement of PMIC tiles, the tool quickly and automatically generates physical layout data suitable for fabrication of the MTPMIC.
    Type: Grant
    Filed: January 30, 2009
    Date of Patent: July 17, 2012
    Assignee: Active-Semi, Inc.
    Inventors: Steven Huynh, Matthew A. Grant, Gary M. Hurtz, David J. Kunst, Trey A. Roessig
  • Patent number: 8225267
    Abstract: A structure analysis apparatus (1) for analyzing structure of a complex material layer containing a plurality of members (2a, 2b) for modeling layout data on a complex material layer, includes: an area setting portion (21) for setting an area to be modeled in the complex material layer; an area dividing portion (22) for dividing the area into a plurality of elements; an area computing portion (23) for calculating, based on an occupancy of each of the plurality of members (2a, 2b) in the area, the number of elements corresponding respectively to the plurality of members (2a, 2b); and an element placing portion (24) for generating a model of the complex material layer by placing the plurality of members (2a, 2b) respectively in the plurality of elements based on the number of the elements corresponding respectively to the plurality of members (2a, 2b).
    Type: Grant
    Filed: March 8, 2010
    Date of Patent: July 17, 2012
    Assignee: Renesas Electronics Corporation
    Inventor: Tomohisa Sekiguchi
  • Patent number: 8225264
    Abstract: An integrated circuit (IC) and fabrication method thereof is provided that include the steps of specifying a plurality of required tile modules suitable for a particular end application, each of the modular tiles being configured to perform a predetermined function and constructed to have approximately the same length and width dimensions. The modular tiles are used to form the IC in a standard IC fabrication process. In many implementations, physical layout of the IC does not include the step of routing. Capabilities also include configuring the modular tiles to have programmable performance parameters and configuring the modular tiles to cooperate usefully with one another based on a programmable parameter.
    Type: Grant
    Filed: August 21, 2009
    Date of Patent: July 17, 2012
    Assignee: Active-Semi, Inc.
    Inventors: Steven Huynh, David Kunst
  • Patent number: 8219940
    Abstract: A method and apparatus to reduce occurrences of electrically non-functional elements, known as dummy features, from a source data structure is described. The source data structure may be image data, a vector based data structure or some other data format. Dummy features in the source data structure are detected and then deleted. Dummy features may be detected by selecting a representative dummy feature, using it as a reference pattern or polygon and comparing it to features in the source data structure. The step of comparing the selected reference to the comprises selecting a cut-off correlation threshold value, and computing the correlation coefficients between the reference and the feature. Features are selectively removed based on a comparison between their correlation coefficients and the selected cut-off correlation threshold value. This threshold value may require updating to remove all dummy features in the source data structure.
    Type: Grant
    Filed: July 6, 2005
    Date of Patent: July 10, 2012
    Assignee: Semiconductor Insights Inc.
    Inventors: Mohammed Ouali, Jason Abt, Edward Keyes, Vyacheslav Zavadsky
  • Patent number: 8219959
    Abstract: A method of generating a floorplan layout of an integrated circuit (IC) that is amenable to implementation in a computer-aided design tool. The method is capable of performing placement and routing processing for the IC while requiring very little information about the specific circuitry used in various functional blocks of the IC. For example, at the time of the placement and routing processing, one or more functional blocks of the IC can be specified as empty functional blocks and/or functional blocks that are only partially rendered in gates.
    Type: Grant
    Filed: July 24, 2009
    Date of Patent: July 10, 2012
    Assignee: LSI Corporation
    Inventors: Juergen Dirks, Norbert Mueller, Stefan Block
  • Patent number: 8209649
    Abstract: Methods and systems for generating and verifying circuit layouts from computer-aided design tools for vertically integrated, three-dimensional integrated circuits are disclosed. In one instance, a 3-D technology file of these teachings is obtained by providing an identifier for two or more circuit levels, providing for each one of the two or more circuit levels an identifier for a 2-D technology file corresponding to each of the one or more circuit levels and providing a file structure including the two or more circuit levels and each identifier, corresponding to each one of the one or more circuit levels, for the 2-D technology file corresponding to each one of the two or more circuit levels. Other embodiments are disclosed.
    Type: Grant
    Filed: August 7, 2009
    Date of Patent: June 26, 2012
    Assignee: R3 Logic, Inc
    Inventor: Lisa G. McIlrath
  • Patent number: 8209650
    Abstract: A method of designing an analog integrated circuit (IC), a parasitic constraint analyzer and a method of determining a layout of an analog IC complies with parasitic constraints. In one embodiment, the method of designing an analog IC includes: (1) creating a schematic of an analog integrated circuit based on a set of specifications, (2) attaching parasitic constraints to the schematic, (3) creating a layout of the analog integrated circuit based on the schematic including the parasitic constraints, (4) extracting parasitic values from parasitic elements of the layout and (5) comparing the extracted parasitic values with the parasitic constraints to verify compliance therewith.
    Type: Grant
    Filed: April 16, 2008
    Date of Patent: June 26, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Ian St. John, Mohamed Kamal Mahmoud, Baher S. Haroun
  • Patent number: 8209652
    Abstract: A semiconductor device and a layout method of a decoupling capacitor thereof are disclosed. The semiconductor device includes a main power/ground voltage voltage supplying line arranged in a first direction; a plurality of decoupling capacitor cells to reduce power noise generated by the power voltage and the ground voltage in the first direction and in a second direction; a plurality of sub power voltage supplying lines arranged in the second direction in a border of the plurality of decoupling capacitor cells; and a plurality of sub ground voltage supplying lines arranged in a net form in the border of the plurality of decoupling capacitor cells, wherein the plurality of decoupling capacitor cells have a first active region arranged to receive the ground voltage and the second active region disposed to receive the power voltage and to avoid a region where an inversion is formed in the decoupling capacitor.
    Type: Grant
    Filed: February 8, 2008
    Date of Patent: June 26, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Jong-Wook Park
  • Patent number: 8209656
    Abstract: Some embodiments provide a method for decomposing a region of an integrated circuit (“IC”) design layout into multiple mask layouts. The method identifies a number of sets of geometries in the design layout region that must be collectively assigned to the multiple mask layouts. The method assigns the geometries in a first group of collectively-assigned sets to different mask layouts without splitting any of the geometries. The method assigns the geometries in a second group of the collectively-assigned sets to different mask layouts in such a way so as to minimize the number of splits in the geometries of the second group.
    Type: Grant
    Filed: October 14, 2008
    Date of Patent: June 26, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventors: Xiaojun Wang, Yuane Qiu, Prasanti Uppaluri, Judy Huckabay, Tianhao Zhang
  • Patent number: 8209644
    Abstract: Some embodiments provide a method of simulating an electrical circuit that receives a circuit description that has a set of sub-circuits. The method defines several partitions for several sub-circuits. The method then simulates the circuit using the partitioned sub-circuits. In some embodiments, the method ranks the sub-circuits prior to partitioning based on a parent-child relationship that shows how a sub-circuit is instantiated by other sub-circuits. These embodiments partition child sub-circuits first. Some embodiments provide a method of partitioning an electrical circuit that has a set of sub-circuits. For a particular sub-circuit that is instantiated from other sub-circuits, the method duplicates the particular sub-circuit into a first copy and a second copy when one port of the particular sub-circuit is connected to a voltage source in at least one instance and the same port is not connected to a voltage source in at least another instance.
    Type: Grant
    Filed: May 22, 2009
    Date of Patent: June 26, 2012
    Assignee: Infinisim, Inc.
    Inventors: Perry Gee, Syed Zakir Hussain
  • Patent number: 8205180
    Abstract: A method of placing a circuit design in logic blocks of an integrated circuit is disclosed. The method comprises receiving a circuit design to be implemented in the logic blocks of the integrated circuit; determining clock skew for a clock tree providing clock signals to a plurality of memory elements of the integrated circuit; evaluating timing requirements associated with the circuit design; and transforming the circuit design to a placement configuration, wherein the placement configuration places the circuit design in the logic blocks of the integrated circuit according to the timing requirements of the circuit design and the clock skew for the clock tree.
    Type: Grant
    Filed: May 5, 2009
    Date of Patent: June 19, 2012
    Assignee: Xilinx, Inc.
    Inventors: Jason H. Anderson, Qiang Wang
  • Patent number: 8196074
    Abstract: An apparatus, program product and method utilize heuristic clustering to generate assignments of circuit elements to clusters or groups to optimize a desired spatial locality metric. For example, circuit elements such as scan-enabled latches may be assigned to individual scan chains using heuristic clustering to optimize the layout of the scan chains in a scan architecture for a circuit design.
    Type: Grant
    Filed: March 18, 2009
    Date of Patent: June 5, 2012
    Assignee: International Business Machines Corporation
    Inventors: Mark S. Fredrickson, Glen Howard Handlogten, Chad B. McBride