Floorplanning Patents (Class 716/118)
  • Patent number: 8196079
    Abstract: An integrated circuit (IC) and fabrication method thereof is provided that include the steps of specifying a plurality of required tile modules suitable for a particular end application, each of the modular tiles being configured to perform a predetermined function and constructed to have approximately the same length and width dimensions. The modular tiles are used to form the IC in a standard IC fabrication process. In many implementations, physical layout of the IC does not include the step of routing. Capabilities also include configuring the modular tiles to have programmable performance parameters and configuring the modular tiles to cooperate usefully with one another based on a programmable parameter.
    Type: Grant
    Filed: August 25, 2009
    Date of Patent: June 5, 2012
    Assignee: Active-Semi, Inc.
    Inventors: Steven Huynh, David Kunst
  • Patent number: 8196085
    Abstract: Techniques for analyzing and optimizing a design on an integrated circuit (IC) are provided. The techniques include an interface that aids interactive optimization. A visual indicator is generated based on the power usage value of each of the logic blocks in the design. The visual indicator can be overlaid on top of a floorplan layout of the IC to highlight the parts of the design that may be further optimized. The visual indicator can be updated in real time to highlight the optimizations that have been achieved by the changes made to the design. The real time update of the visual indicator may allow multiple changes to be made to the design before the design is recompiled with a design program.
    Type: Grant
    Filed: January 19, 2010
    Date of Patent: June 5, 2012
    Assignee: Altera Corporation
    Inventor: David Ian M. Milton
  • Patent number: 8185856
    Abstract: The present of the invention provides a method of manufacturing a semiconductor device, including the steps of: acquiring information on a graphic composing a physical layout of a semiconductor integrated circuit; carrying out calculation for a transferred image in the physical layout; carrying out calculation for a signal delay based on the physical layout, and obtaining a wiring not meeting a specification having the signal delay previously set therein; and setting a portion into which a repeater is to be inserted based on at least one result of results obtained from the information on the graphic and calculation for the transferred image, respectively, with respect to the wiring not meeting the specification.
    Type: Grant
    Filed: January 16, 2009
    Date of Patent: May 22, 2012
    Assignee: Sony Corporation
    Inventor: Kyoko Izuha
  • Patent number: 8185865
    Abstract: Methods for generating a biased layout for making an integrated circuit are disclosed. One such method includes obtaining a nominal layout defined by one or more cells, where each cell has one or more transistor gate features with a nominal gate length. Then, obtaining an annotated layout. The annotated layout contains information describing gate-length biasing of one or more of the transistor gate features in one or more cells of the nominal layout. A biased layout is produced by modifying the nominal layout using the information from the annotated layout. The biasing modifies a gate length of those transistor gate features identified by the information of the annotated layout.
    Type: Grant
    Filed: March 4, 2010
    Date of Patent: May 22, 2012
    Assignee: Tela Innovations, Inc.
    Inventors: Puneet Gupta, Andrew B. Kahng
  • Patent number: 8181142
    Abstract: A semiconductor device, includes a first wiring pattern in a first region, a second wiring pattern in a second region, and at least one first dummy pattern formed in the first region and at least one second dummy pattern formed in the second region. A total area of the at least one first dummy pattern is the same as a total area of the at least one second dummy pattern and a total length of pattern periphery of the at least one second dummy pattern is longer than a total length of pattern periphery of the at least one first dummy pattern.
    Type: Grant
    Filed: September 3, 2010
    Date of Patent: May 15, 2012
    Assignee: Renesas Electronics Corporation
    Inventor: Keisuke Hirabayashi
  • Patent number: 8176456
    Abstract: One embodiment of the present invention provides a system that computes dummy feature density for a CMP (Chemical-Mechanical Polishing) process. Note that the dummy feature density is used to add dummy features to a layout to reduce the post-CMP topography variation. During operation, the system discretizes a layout of an integrated circuit into a plurality of panels. Next, the system computes a feature density and a slack density for the plurality of panels. The system then computes a dummy feature density for the plurality of panels by, iteratively, (a) calculating an effective feature density for the plurality of panels using the feature density and a function that models the CMP process, (b) calculating a filling amount for a set of panels in the plurality of panels using a target feature density, the effective feature density, and the slack density, and (c) updating the feature density, the slack density, and the dummy feature density for the set of panels using the filling amount.
    Type: Grant
    Filed: December 24, 2008
    Date of Patent: May 8, 2012
    Assignee: Synopsys, Inc.
    Inventors: Xin Wang, Charles C. Chiang, Jamil Kawa
  • Patent number: 8176458
    Abstract: An H-tree is formed in a conducting layer over a base array of a structured ASIC, the H-tree being a predefined constraint imposed on ad hoc circuit designs adapted to make use of a base array and H-tree. The endpoints of an H-tree can be formed at or near sequential elements. When an H-tree is used as part of a clock structure, clock skew to sequential elements and consumption of routing resources for forming a clock structure can be minimized. When a pulse generator is coupled to an H-tree, at least one flip-flop of a plurality of flip-flops can be emulated with an individual latch, thereby increasing effective flip-flop density.
    Type: Grant
    Filed: December 1, 2008
    Date of Patent: May 8, 2012
    Assignee: Otrsotech, Limited Liability Company
    Inventors: David Galbi, Eric T. West
  • Publication number: 20120102445
    Abstract: A method, system, and computer program product are provided for implementing enhanced random logic macro (RLM) connectivity on a hierarchical design on an integrated circuit chip with top-level pipeline registers. Random logic macros (RLMs) to be connected are identified. Pipeline registers are identified; an input net is connected to an output net of the identified RLMs, removing the pipeline registers from the design. A chip floor plan results is displayed, providing direct RLM connectivity.
    Type: Application
    Filed: October 22, 2010
    Publication date: April 26, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: Paul Gregory Curtis
  • Patent number: 8166440
    Abstract: A basic cell circuit architecture having plurality of cells with fixed transistors configurable for the formation of logic devices and/or single/dual port memory devices within a structured ASIC is provided. Different configurations of ensuing integrated circuits are achieved by forming variable interconnect layers above the fixed structures. The circuit architecture can achieve interconnection of transistors within a single cell and/or across multiple cells. The interconnection can be configured to form basic logic gates as well as more complex digital and analog subsystems. In addition, each cell contains a layout of transistors that can be variably coupled to achieve a memory device, such as a SRAM device. By having the capability of forming either a logic circuit element, a memory device, or both, the circuit architecture is both memory-centric and logic-centric, and more fully adaptable to modern-day SoCs.
    Type: Grant
    Filed: June 16, 2008
    Date of Patent: April 24, 2012
    Assignee: LSI Corporation
    Inventors: Ramnath Venkatraman, Michael N. Dillon, David A. Gardner, Carl Anthony Monzel, III, Subramanian Ramesh, Robert C. Armstrong, Gary Scott Delp, Scott Allen Peterson
  • Patent number: 8166438
    Abstract: A system includes an input device, an output device, a printed circuit board, and a semiconductor device. The semiconductor device includes a semiconductor die. The semiconductor die includes a clock distribution network that distributes a primary clock signal. The clock distribution network includes a low RC local clock distribution structure. The low RC local clock distribution structure includes a conductor, a first clock signal incident on the conductor, a local gain buffer pair that receives the first clock signal and outputs a second clock signal corresponding to the first clock signal, and a shorting bar that shorts the second clock signal to a plurality of conductors.
    Type: Grant
    Filed: January 28, 2009
    Date of Patent: April 24, 2012
    Assignee: Oracle America, Inc.
    Inventor: Robert P. Masleid
  • Patent number: 8166429
    Abstract: Apparatuses and processes for distributing signals in an integrated circuit are disclosed. An embodiment to use a custom layer together with a base layer on an integrated circuit for testing the integrated circuit includes having a structured network on the base layer. The custom layer connects the network to logic elements on the integrated circuit. The network may be evenly distributed across the base layer of the integrated circuit. Even distribution of the network may reduce skew of the test signals. Buffers are also placed along the structured network. The buffers may be placed to ensure a deterministic test signals distribution. Unused buffers in the base layer may be tied off to reduce current leakage.
    Type: Grant
    Filed: October 17, 2008
    Date of Patent: April 24, 2012
    Assignee: Altera Corporation
    Inventors: Keong Hong Oh, Yee Liang Tan, Siang Poh Loh, Chooi Pei Lim
  • Patent number: 8151222
    Abstract: A method for decomposing a designed pattern layout and a method for fabricating an exposure mask using the same. After the designed pattern layout is automatically decomposed to obtain a plurality of mask layouts, a problematic region is determined through simulation of the mask layout, and fed back to correct the designed pattern layout. As a result, problems can be detected in each process and corrected to reduce the process time.
    Type: Grant
    Filed: December 2, 2008
    Date of Patent: April 3, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Cheol Kyun Kim
  • Patent number: 8150661
    Abstract: A design support system generates a passing point through which a deformable linear structure in a virtual space according to the instruction of a user via an input device in the edition process and when generating a passing point of type, based on a component such as part or the like in the virtual space by the edition process, automatically generates and manages passing point information including the passing direction of the passing point to be generated, on the basis of the designated component as the reference. A route through which the linear structure should pass is generated using the passing point information of each passing point.
    Type: Grant
    Filed: June 24, 2009
    Date of Patent: April 3, 2012
    Assignee: Fujitsu Limited
    Inventors: Kouji Demizu, Masayuki Kidera
  • Patent number: 8141022
    Abstract: A hierarchical design apparatus 1 for a semiconductor integrated circuit includes a hierarchical block placing unit 1-02 which places sets of hierarchical blocks onto a chip; a hierarchical block terminal placing unit 1-03 which places terminals of the hierarchical blocks so that for sets of hierarchical blocks having the same function, the hierarchical blocks coincide with each other in a coordinate of the corresponding terminal; an intra-hierarchical block layout unit 1-06 which executes the individual types of intra-hierarchical-block layout designs, meanwhile executes only a single type of intra-hierarchical-block layout design for the sets of hierarchical blocks having the same function; and a chip layout finishing unit 1-07 which replicates thus-obtained layout patterns, and thereby completing a layout design over the entire chip.
    Type: Grant
    Filed: July 5, 2007
    Date of Patent: March 20, 2012
    Assignee: NEC Corporation
    Inventor: Takumi Okamoto
  • Patent number: 8141020
    Abstract: Block placement within each device-containing layer is optimized under the constraint of a simultaneous optimization of interlayer connectivity between the device-containing layer and immediately adjacent device-containing layers. For each functional block within the device-containing layer, lateral heat flow is calculated to laterally adjacent functional blocks. If the lateral heat flow is less than a threshold value for a pair of adjacent functional blocks, placement of the functional blocks and/or interlayer interconnect structure array therebetween or modification of the interlayer interconnect structure array is performed. This routine is repeated for all adjacent pairs of functional blocks in each of the device-containing layers. Subsequently, block placement within each device-containing layer may be optimized under the constraint of a simultaneous optimization of interlayer connectivity across all device-containing layers.
    Type: Grant
    Filed: June 29, 2009
    Date of Patent: March 20, 2012
  • Patent number: 8136070
    Abstract: A dummy cell pattern for shallow trench isolation (STI). Active and shallow trench isolation areas are bounded by a circumference. An active area pattern completely overlaps the active area and a first polysilicon pattern in the shallow trench isolation area is outside the active area pattern. Layout methods using the same are also disclosed.
    Type: Grant
    Filed: June 17, 2010
    Date of Patent: March 13, 2012
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Kelvin Yih-Yuh Doong, Chin-Chiu Hsia
  • Patent number: 8132137
    Abstract: A method for accurately determining the shape of currents in a current spectrum for a circuit design is provided. The method includes determining timing characteristics and power consumption characteristics for the circuit design. In one embodiment, the timing characteristics are provided through a electronic design automation tool. The timing characteristics yield a current pulse time width. In another embodiment, the power consumption characteristics are provided by an EDA tool. The power consumption characteristics yield a current pulse amplitude. The shape of the current pulse is obtained by incrementally processing a power analyzer tool over relatively small time increments over one or more clock cycles while capturing the switching nodes of a simulation of the circuit design for each time increment. In one embodiment, the time increments are one nanosecond or less.
    Type: Grant
    Filed: November 10, 2008
    Date of Patent: March 6, 2012
    Assignee: Altera Corporation
    Inventors: Peter Boyle, Iliya G. Zamek
  • Patent number: 8127266
    Abstract: Methods and apparatus for a gate-length biasing methodology for optimizing integrated digital circuits are described. The gate-length biasing methodology replaces a nominal gate-length of a transistor with a biased gate-length, where the biased gate-length includes a bias length that is small compared to the nominal gate-length. In an exemplary embodiment, the bias length is less than 10% of the nominal gate-length.
    Type: Grant
    Filed: September 17, 2008
    Date of Patent: February 28, 2012
    Assignee: Tela Innovations, Inc.
    Inventors: Puneet Gupta, Andrew B. Kahng
  • Patent number: 8127262
    Abstract: Approaches for generating a specification of a pipelined packet processor. A textual specification includes input and output packet formats, each specifying a format for each field in the packet and a plurality of actions for processing one or more fields of an input packet. Pipeline stages are determined from the actions in the textual specification, and each action is assigned to one of the pipeline stages. A shared variable is determined that is accessed by actions in at least two stages. An action in an initial stage writes the shared variable, an action in a last stage reads the shared variable. A hardware description is generated including the pipeline stages and assigned actions, a respective first-in-first-out queue between each adjacent pair of pipeline stages, a respective register for transferring the shared variable between each adjacent pair of the pipeline stages, and control logic for writing to and reading from each respective register.
    Type: Grant
    Filed: December 18, 2008
    Date of Patent: February 28, 2012
    Assignee: Xilinx, Inc.
    Inventors: Philip B. James-Roxby, Michael E. Attig
  • Patent number: 8127263
    Abstract: Improving routability of an integrated circuit (IC) design without impacting the area is described. A local region of congestion of an IC design is determined according to a design parameter. A cell with a specified level of complexity is identified within the local region of congestion. An alternative cell is algorithmically created with a same logic function as the cell by adding an access point to the alternative cell. The cell is then replaced with the alternative cell within the local region of congestion.
    Type: Grant
    Filed: February 3, 2009
    Date of Patent: February 28, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Pavan Vithal Torvi, Girishankar Gurumurthy, Dharin N Shah, Ajith Harihara Subramonia
  • Patent number: 8122414
    Abstract: Within a system comprising a processor and a memory, a method of creating a circuit design for implementation within an integrated circuit can include inserting a placeholder block into the circuit design, wherein the circuit design includes a circuit block comprising circuitry and a circuit block interface, and wherein the placeholder block is devoid of circuitry and, responsive to receiving a user input specifying a coupling between the placeholder block and the circuit block, automatically determining a plurality of attributes of the circuit block interface. The method can include automatically generating, according to the attributes and by the processor, a placeholder interface within the placeholder block, wherein the placeholder interface is complementary to the circuit block interface. The placeholder block can be stored within the memory.
    Type: Grant
    Filed: September 29, 2009
    Date of Patent: February 21, 2012
    Assignee: Xilinx, Inc.
    Inventors: Nathan A. Lindop, Brian Cotter, Scott Leishman, Martin Sinclair
  • Patent number: 8122417
    Abstract: An integrated circuit (IC) and fabrication method thereof is provided that include the steps of specifying a plurality of required tile modules suitable for a particular end application, each of the modular tiles being configured to perform a predetermined function and constructed to have approximately the same length and width dimensions. The modular tiles are used to form the IC in a standard IC fabrication process. In many implementations, physical layout of the IC does not include the step of routing. Capabilities also include configuring the modular tiles to have programmable performance parameters and configuring the modular tiles to cooperate usefully with one another based on a programmable parameter.
    Type: Grant
    Filed: May 19, 2009
    Date of Patent: February 21, 2012
    Assignee: Active-Semi, Inc.
    Inventors: Steven Huynh, David Kunst
  • Patent number: 8122415
    Abstract: An integrated circuit (IC) and fabrication method thereof is provided that include the steps of specifying a plurality of required tile modules suitable for a particular end application, each of the modular tiles being configured to perform a predetermined function and constructed to have approximately the same length and width dimensions. The modular tiles are used to form the IC in a standard IC fabrication process. In many implementations, physical layout of the IC does not include the step of routing. Capabilities also include configuring the modular tiles to have programmable performance parameters and configuring the modular tiles to cooperate usefully with one another based on a programmable parameter.
    Type: Grant
    Filed: January 3, 2009
    Date of Patent: February 21, 2012
    Assignee: Active-Semi, Inc.
    Inventors: Steven Huynh, David Kunst
  • Patent number: 8117583
    Abstract: Provided is an integrated circuit layout design supporting device which can reduce the wiring length by avoiding bypass wirings when a plurality of same-type macro blocks are used. The integrated circuit layout design supporting device includes a terminal coordinate calculation control unit and a layout processing control unit. The terminal coordinate calculation control unit considers the plurality of same-type macro blocks included in a plurality of types of macro blocks as each of different types of macro blocks, and calculates the optimum coordinate positions of each macro terminal of each macro block. The layout processing control unit performs various types of wiring layout processing related to each of the macro terminals based on each of the macro terminal positions calculated by the terminal coordinate calculation control unit.
    Type: Grant
    Filed: February 25, 2008
    Date of Patent: February 14, 2012
    Assignee: NEC Corporation
    Inventor: Takashi Gotou
  • Patent number: 8112732
    Abstract: A system and computer program product for cell placement in an integrated circuit design that uses a calculated diffusion velocity determined from a density value in order to relocate the cells until the cell placement reduces the density below a predetermined threshold. The method acts to control the movement of different cells to reduce the density of the cells prior to legalization of the cell placement.
    Type: Grant
    Filed: November 4, 2008
    Date of Patent: February 7, 2012
    Assignee: International Business Machines Corporation
    Inventors: Charles J Alpert, Haoxing Ren, Paul Gerard Villarubia
  • Patent number: 8112734
    Abstract: A method incorporating adaptive body biasing into an integrated circuit design flow includes the steps of (A) adding adaptive body biasing input/outputs (I/Os) during a bonding layout stage of the integrated circuit design flow, (B) floorplanning the integrated circuit design, (C) generating an adaptive body biasing mesh and (D) generating a layout of the integrated circuit design based upon a plurality of adaptive body biasing corners.
    Type: Grant
    Filed: September 29, 2008
    Date of Patent: February 7, 2012
    Assignee: LSI Corporation
    Inventors: Benjamin Mbouombouo, Ramnath Venkatraman, Ruggero Castagnetti
  • Patent number: 8108822
    Abstract: A structure, apparatus and method for circuits to minimize sensitivity to latch. The method includes, for example, identifying element density of at least one functional circuit block and element attributes of elements associated with the at least one functional circuit block. An element density function parameterized from the element attributes is formed. The placement of the at least one functional circuit block is modified relative to other functional circuit blocks based on the element density function to substantially eliminate latching effects in a circuit.
    Type: Grant
    Filed: May 21, 2008
    Date of Patent: January 31, 2012
    Assignee: International Business Machines Corporation
    Inventor: Steven H. Voldman
  • Patent number: 8099707
    Abstract: Semiconductor devices and/or structures, and methods for fabricating the same are disclosed. Embodiments of the present invention allow for production of customized products, while also minimizing production steps, avoiding some or all photolithography steps, and reducing overall production costs. Using selective deposition and patterning methods such as printing, to form metal and/or dielectric layer(s) on substrates where one or more device circuit components are pre-made in a factory, but which require further processing to obtain an electrically functional circuit, results in the ability for a user/consumer to make custom, specific and/or unique electrically functional circuits without incurring the cost and complexity of a full fabrication to form and pattern all of the layers.
    Type: Grant
    Filed: March 17, 2009
    Date of Patent: January 17, 2012
    Assignee: Kovio, Inc.
    Inventor: Jiang Li
  • Publication number: 20120008450
    Abstract: A memory for an integrated circuit, a method of designing a memory and an integrated circuit manufactured by the method. In one embodiment, the memory includes: (1) one of: (1a) at least one data input register block and at least one bit enable input register block and (1b) at least one data and bit enable merging block and at least one merged data register block, (2) one of: (2a) at least one address input register block and at least one binary to one-hot address decode block and (2b) at least one binary to one-hot address decode block and at least one one-hot address register block and (3) a memory array, at least one of the blocks having a timing selected to match at least some timing margins outside of the memory.
    Type: Application
    Filed: July 7, 2010
    Publication date: January 12, 2012
    Applicant: LSI Corporation
    Inventors: Mark F. Turner, Jeffrey S. Brown, Paul J. Dorweiler
  • Patent number: 8091058
    Abstract: A method of performing a pre-route repeater insertion methodology for at least part of a circuit design may include: partitioning at least part of a circuit design into a plurality of tiles; determining at least one attribute of one or more individual tiles of the plurality of tiles; and determining a repeater solution based at least in part on the determined attributes of the one or more individual tiles. A computer implemented tool for performing a pre-route repeater insertion methodology for at least part of a circuit design may include: a module configured to partition at least part of a circuit design into a plurality of tiles; a module configured to determine at least one attribute of one or more individual tiles of the plurality of tiles; and a module configured to determine a repeater solution based at least in part on the determined attributes of the one or more individual tiles.
    Type: Grant
    Filed: November 26, 2008
    Date of Patent: January 3, 2012
    Assignee: Oracle America, Inc.
    Inventors: James G. Ballard, Yi Wu
  • Patent number: 8091055
    Abstract: Some embodiments provide a system for managing violations during physical verification. The system may identify a design-rule-check (DRC) violation by applying a set of DRC rules to a layout. The system can then receive an error classification from the user which specifies how the DRC violation is to be handled. Next, the system can store the DRC violation, the user-selected error classification, and a user identifier associated with the user in a database. If the user is not authorized to approve the error classification, the database can indicate that the error classification has not been approved. Later, a user who is authorized to approve the error classification can approve the error classification. The system can determine if a cell is known, and if so, the system can use the violations and error classifications stored in the database to speed up the verification process.
    Type: Grant
    Filed: January 26, 2009
    Date of Patent: January 3, 2012
    Assignee: Synopsys, Inc.
    Inventors: Kevin Brelsford, Keith Rast, William Christopher Dunn, Jason Richard Puryear
  • Patent number: 8091059
    Abstract: A method for cell placement in an integrated circuit design that uses a calculated diffusion velocity determined from a density value in order to relocate the cells until the cell placement reduces the density below a predetermined threshold. The method acts to control the movement of different cells to reduce the density of the cells prior to legalization of the cell placement.
    Type: Grant
    Filed: November 4, 2008
    Date of Patent: January 3, 2012
    Assignee: International Business Machines Corporation
    Inventors: Charles J Alpert, Haxoing Ren, Paul Gerard Villarubia
  • Patent number: 8086985
    Abstract: In a particular embodiment, a method is disclosed that includes detecting a first pitch between at least two lines (e.g. a power line and a ground line) of a first reference macro. The method also includes generating a virtual grid based on the first pitch and aligning at least a second macro to the virtual grid.
    Type: Grant
    Filed: September 23, 2008
    Date of Patent: December 27, 2011
    Assignee: QUALCOMM Incorporated
    Inventor: Li Qiu
  • Patent number: 8086990
    Abstract: Roughly described, standard SPICE models can be modified by substituting a different stress analyzer to better model the stress adjusted characteristics of a transistor. A first, standard, stress-sensitive, transistor model is used to develop a mathematical relationship between the first transistor performance measure and one or more instance parameters that are available as inputs to a second, stress-insensitive, transistor model. The second transistor model may for example be the same as the first model, with its stress sensitivity disabled. Thereafter, a substitute stress analyzer can be used to determine a stress-adjusted value for the first performance measure, and the mathematical relationship can be used to convert that value into specific values for the one or more instance parameters. These values are then provided to the second transistor model for use in simulating the characteristics of the particular transistor during circuit simulation.
    Type: Grant
    Filed: April 30, 2009
    Date of Patent: December 27, 2011
    Assignee: Synopsys, Inc.
    Inventors: Xi-Wei Lin, Victor Moroz, Dipankar Pramanik
  • Patent number: 8079007
    Abstract: A programmable analog tile integrated circuit programming tool communicates a power management control characteristic query soliciting control requirement information for a novel power management integrated circuit (PMIC) tile in a multi-tile power management integrated circuit (MTPMIC). The programming tool receives a user response to the query indicating control requirements across a network. The novel PMIC tiles have a pre-defined physical structure including all memory structures required for configuration of each tile and a bus portion. When combined in a multi-tile power management integrated circuit (MTPMIC), the bus portions of the selected tiles automatically form a standardized bus that accommodates all signal communication required for a functioning MTPMIC. The memory structure of each tile is individually addressable via the standardized bus. Thus, in response to control requirements, the programming tool programs a PMIC tile that is part of a MTPMIC to meet the control requirements.
    Type: Grant
    Filed: January 30, 2009
    Date of Patent: December 13, 2011
    Assignee: Active-Semi, Inc.
    Inventors: Steven Huynh, Matthew A. Grant, Gary M. Hurtz, David J. Kunst, Trey A. Roessig
  • Patent number: 8079005
    Abstract: Disclosed is an approach for performing pattern classification for electronic designs. One advantage of this approach is that it can use fast pattern matching techniques to classify both patterns and markers based on geometric similarity. In this way, the large number of markers and hotspots that typically are identified within an electronic design can be subsumed and compressed into a much smaller set of pattern families. This significantly reduced the number of patterns that must be individually analyzed, which considerably reduces the quantity of system resources and time needed to analyze and verify a circuit design.
    Type: Grant
    Filed: September 30, 2008
    Date of Patent: December 13, 2011
    Assignee: Cadence Design Systems, Inc.
    Inventors: Ya-Chieh Lai, Frank E. Gennari, Matthew W Moskewicz, Junjiang Lei, Weinong Lai
  • Patent number: 8079008
    Abstract: A high-speed, low leakage-power Standard Cell Library is provided. The high-speed, low-leakage-power Standard Cell Library provides the extra drive-strength of a taller X-Track library (e.g., 14-Track library) and low leakage-power comparable to that of a smaller, N-Track library (e.g., 10-Track library). The high-speed, low leakage-power Standard Cell Library includes a set of cells each having a device area designed to provide maximum drive strength for the cell. The high-speed, low leakage-power Standard Cell Library further includes a second set of cells having varying device areas that provide reduced leakage power characteristics comparable to cells in the smaller, N-Track library. The modified reduced leakage-power cells are formed by adding padding to the cell to achieve a desired leakage-power characteristic of the cell.
    Type: Grant
    Filed: March 31, 2008
    Date of Patent: December 13, 2011
    Assignee: Broadcom Corporation
    Inventors: Paul Penzes, Alvin Lin, Vafa James Rakshani
  • Patent number: 8079013
    Abstract: A computer-implemented method of specifying a circuit design within a high-level modeling system (HLMS) can include, responsive to a scripted user input, instantiating a first and a second block objects within a hardware description interface (HDI) that is communicatively linked with the HLMS and, responsive to instantiating the first and second block objects, creating and displaying, within the HLMS, first and second modeling blocks representing the first and second xBlock objects respectively. Responsive to instantiating, within the HDI, a signal object bound to an output port of the first block object and an input port of the second block object, a modeling line can be created and displayed within the HLMS visually linking an output of the first modeling block with an input of the second modeling block. The first modeling block, second modeling block, and modeling line can be stored as a description of the circuit design.
    Type: Grant
    Filed: December 19, 2008
    Date of Patent: December 13, 2011
    Assignee: Xilinx, Inc.
    Inventors: Haibing Ma, Jingzhao Ou
  • Patent number: 8069286
    Abstract: Methods and apparatus are provided for allowing flexible on-chip datapath interfaces on a device. Datapath connections allow data streamlining without any knowledge of channels or packet boundaries. Flexible and modular interface adapters are used to allow component designers to efficiently provide interoperable components without having to adhere to a strict datapath interface specification. Interface adapters from an adapter library are instantiated and configured automatically when two components are connected.
    Type: Grant
    Filed: October 12, 2010
    Date of Patent: November 29, 2011
    Assignee: Altera Corporation
    Inventors: Kent Orthner, Desmond Ambrose, Andrew M. Draper
  • Patent number: 8065654
    Abstract: A computer aided design system is provided that includes a display, an input unit for inputting a circuit search-range narrowing condition, and a processing unit for, when a circuit topology of a circuit to be designed is changed, finding recommended circuits by searching a database, which stores part data and circuit data, based on the circuit search-range narrowing condition, and displaying a list of the recommended circuits on the display.
    Type: Grant
    Filed: October 30, 2008
    Date of Patent: November 22, 2011
    Assignee: Fujitsu Limited
    Inventors: Hidenobu Shiihara, Yasuhiro Yamashita, Mitsunobu Okano, Takashi Fukuda
  • Patent number: 8065652
    Abstract: Various embodiments of the invention comprise methods and systems for determining when or whether to use hard rules or preferred rules during global routing of an electronic design. In some embodiments, the entire routable space is first routed with hard rules during global routing while ensuring the design may be embedded. The design is then analyzed with preferred rules where the overcongested areas are marked as “use hard rule” and areas not overcongested are marked as “use preferred rule.” The methods or the systems thus ensure that the design remains routable throughout the process while improving timing, manufacturability, or yield by reserving routing space for the preferred rules.
    Type: Grant
    Filed: August 13, 2007
    Date of Patent: November 22, 2011
    Assignee: Cadence Design Systems, Inc.
    Inventors: Jeffrey Scott Salowe, Charles T. Houck
  • Patent number: 8060850
    Abstract: A method for designing a semiconductor integrated circuit, includes: disposing a plurality of cells in a cell layout region on the basis of a net list indicating connection relations of the plurality of cells to satisfy a setup timing condition; generating a plurality of power regions dividing the cell layout region into plurality; calculating a consumption current of each of the power regions by using a cell power file indicating a consumption current of each of the cells; adjusting layout positions of the temporarily disposed cells with reference to the consumption current of each of the power regions in a range that the setup timing condition is not violated; and optimizing hold timing of the cells after the position adjustment of the cells.
    Type: Grant
    Filed: March 20, 2009
    Date of Patent: November 15, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Fumiyuki Yamane
  • Publication number: 20110267213
    Abstract: A current cell array includes a number of current cell groups arranged such that they extend in a first direction. Each of the current cell groups is identified by a first identifier that increases in a direction of a gradient across the current cell array. A number of current cells are included in each of the current cell groups. Each of the current cells is identified by a respective second identifier that increases in the direction of the gradient across the current cell array. The current cells are positioned in the current cell groups based on the first and second identifiers.
    Type: Application
    Filed: April 30, 2010
    Publication date: November 3, 2011
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ying-Chih HSU, Wen-Shen Chou
  • Patent number: 8051400
    Abstract: A layout for an integrated circuit includes standard cells positioned at standard cell sites. Programmable cells are positioned at programmable fill sites which have a size sufficient to accommodate the programmable cells and are not occupied by standard cells. The position of these programmable sites is recorded in site data as part of the layout data associated with the layout. Empty standard cell sites remaining after standard cells and programmable cells have been placed are filled with standard fill cells. The boundaries of the programmable cells are not constrained other than by alignment with standard cell sites. This permits a high density of programmable fill sites and programmable cells to be achieved. When it is desired to replace a programmable cell with a programmed cell the programmable cells are all deleted from the layout and then the required programmed cells are subject to an automated placement algorithm to place them where appropriate for their function.
    Type: Grant
    Filed: October 21, 2008
    Date of Patent: November 1, 2011
    Assignee: ARM Limited
    Inventor: Marlin Wayne Frederick
  • Patent number: 8051397
    Abstract: Method and system for conducting design explorations of an integrated circuit are disclosed. In one embodiment, the method includes obtaining a design description of the integrated circuit that includes a virtual design block, creating a representative netlist for representing the virtual design block, where the representative netlist includes one or more soft design models, and each soft design model comprises one or more template cells for modeling a portion of the integrated circuit. The method further includes defining physical attributes for the one or more soft design models in accordance with area requirements of the virtual design block, where the one or more soft design models are described with flexible shape and pin locations, performing design explorations of the integrated circuit using the one or more soft design models and their corresponding template cells, and generating a representative implementation of the integrated circuit using results of the design explorations.
    Type: Grant
    Filed: October 12, 2009
    Date of Patent: November 1, 2011
    Assignee: Cadence Design Systems, Inc.
    Inventors: Thaddeus Clay McCracken, Jong-Chang Lee, Ping-Chih Wu, Cecile Nghiem, Kit Lam Cheong, Patrick John Eichenseer
  • Patent number: 8046730
    Abstract: Systems and methods to enable a user to edit subMaster content of selected instances of an electronic layout design, including editing the contents of selected instances of an existing subMaster of an EDA design, generating a new subMaster to incorporate the modified contents of the selected instances, and binding the new subMaster to the selected instances without losing the design hierarchy of the layout design.
    Type: Grant
    Filed: August 11, 2008
    Date of Patent: October 25, 2011
    Assignee: Cadence Design Systems, Inc.
    Inventors: Kenneth Ferguson, Randy Bishop, Arnold Ginetti, Gilles Lamant
  • Patent number: 8042082
    Abstract: The invention relates to multi-planar memory components in a three-dimensional integrated circuit system configuration. A multi-planar memory system consisting of a plurality of memory circuit planes in a three-dimensional system on a chip (3D SoC) comprised of a plurality of memory layers, at least one logic circuit layer and an interface configured to provide access to memory and logic circuit layers.
    Type: Grant
    Filed: September 12, 2008
    Date of Patent: October 18, 2011
    Inventor: Neal Solomon
  • Patent number: 8037442
    Abstract: One embodiment of the present invention provides a system that scales an I/O-cell placement during die-size optimization. During operation, the system starts by receiving an initial die-size for a die and an initial I/O-cell placement for a set of I/O cells. The system also receives a target die-size for the die. The system then determines die-size changes between the initial die-size and the target die-size. Next, the system identifies available spaces between the set of I/O cells in the initial I/O-cell placement. The system subsequently scales the initial I/O-cell placement based on the identified available spaces and the die-size changes to obtain a new I/O-cell placement which fits in the target die-size.
    Type: Grant
    Filed: November 26, 2008
    Date of Patent: October 11, 2011
    Assignee: Synopsys, Inc.
    Inventors: Peiqing Zou, Douglas Chang, Neeraj Kaul
  • Patent number: 8032850
    Abstract: A design structure for a circuit for measuring the absolute duty cycle of a signal, is provided. A non-inverted path from a signal source is selected and various DCC circuit setting indices are cycled through until a divider, coupled to the output of the DCC circuit, fails. A first minimum pulse width at which the divider fails is then determined based on the index value of the DCC circuit at the time of the failure. An inverted path from the signal source is selected and the various DCC circuit setting indices are cycled through again until the divider fails. A second minimum pulse width at which the divider fails is then determined based on the index value of the DCC circuit at the time of this second failure. The duty cycle is then calculated based on a difference of the first and second minimum pulse width values.
    Type: Grant
    Filed: May 30, 2008
    Date of Patent: October 4, 2011
    Assignee: International Business Machines Corporation
    Inventors: David W. Boerstler, Eskinder Hailu, Masaaki Kaneko, Jieming Qi, Bin Wan
  • Patent number: 8032857
    Abstract: Methods and systems for generating and verifying circuit layouts from computer-aided design tools for vertically integrated, three-dimensional integrated circuits are disclosed. In one instance, a 3-D technology file of these teachings is obtained by providing an identifier for two or more circuit levels, providing for each one of the two or more circuit levels an identifier for a 2-D technology file corresponding to each of the one or more circuit levels and providing a file structure including the two or more circuit levels and each identifier, corresponding to each one of the one or more circuit levels, for the 2-D technology file corresponding to each one of the two or more circuit levels. Other embodiments are disclosed.
    Type: Grant
    Filed: September 18, 2008
    Date of Patent: October 4, 2011
    Assignee: R3 Logic, Inc.
    Inventor: Lisa G. McIlrath