Placement Or Layout Patents (Class 716/119)
  • Patent number: 8707228
    Abstract: Disclosed are improved methods, systems, and computer program products for implementing flexible models to perform efficient prototyping of electronic designs, which allows for very efficient analysis of the electronic designs. The flexible models allow many of the existing tools for designing electronics to perform more efficiently.
    Type: Grant
    Filed: April 29, 2011
    Date of Patent: April 22, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Paul W. Kollaritsch, Ping-Chih Wu
  • Publication number: 20140109032
    Abstract: Methods and systems for improving the reliability of C4 solder ball contacts performed at the design stage to reduce the incidence of thermally-induced failures, including those due to electromigration and thermal cycling.
    Type: Application
    Filed: December 12, 2013
    Publication date: April 17, 2014
    Inventors: Matthew Guthaus, Sheldon Logan
  • Patent number: 8701057
    Abstract: An integrated circuit (IC) is designed that includes one variant having a plurality of a modular circuits communicatively coupled together and a second variant having a sub-set of the plurality of modular circuits. The modular circuits are then laid out on a wafer for fabricating each of the variants of the IC. The layout includes routing communicative couplings between the sub-set of the modular circuits of the second variant to the other modular circuits of the first variant in one or more metallization layers to be fabricated last. Fabricating the IC is then started, up to but not including the one or more metallization layers to be fabricated last. One or more of the plurality of variants of the IC is selected based upon a demand predicted during fabrication. Fabrication then continues with the last metallization layers of the IC according to the selected layout.
    Type: Grant
    Filed: April 11, 2011
    Date of Patent: April 15, 2014
    Assignee: Nvidia Corporation
    Inventor: Brian Kelleher
  • Patent number: 8701069
    Abstract: A method of utilizing high level synthesis to automatically configure control logic of a hardware design for a pipelined parallel stream processor includes obtaining a scheduled graph representing a processing operation in the time domain as a function of clock cycles. The graph includes a data path to be implemented in hardware as part of the stream processor, an input, an output, functional objects, and parallel branches to enable data values to be streamed therethrough from the input to the output as a function of increasing clock cycle. The functional objects are grouped based upon having a cycle position dependent upon common factors. Common control logic elements are allocated to groups of functional objects. The graph and allocated control logic is used to define a hardware design for the pipelined parallel stream processor.
    Type: Grant
    Filed: November 21, 2012
    Date of Patent: April 15, 2014
    Assignee: Maxeler Technologies, Ltd.
    Inventor: Robert Gwilym Dimond
  • Patent number: 8701054
    Abstract: Roughly described, the invention includes layouts and masks for an integrated circuit, in which the diffusion shape for a transistor includes a transversely extending jog on one or both transversely opposite sides, the jog having inner and outer corners, at least one of which is located relative to the gate conductor longitudinally such that during lithographic printing of the diffusion shape onto the integrated circuit, the corner will round and extend at least partly into the channel region. The invention also includes aspects for a system and method for introducing such jogs, and for an integrated circuit device having a non-rectangular channel region, the channel region being wider where it meets the source region than at some other longitudinal position under the gate.
    Type: Grant
    Filed: September 20, 2011
    Date of Patent: April 15, 2014
    Assignee: Synopsys, Inc.
    Inventors: Victor Moroz, Munkang Choi, Xi-Wei Lin
  • Patent number: 8701070
    Abstract: Among other things, one or more systems and techniques for defining a group bounding box for related cells of an integrated circuit, and generating a new layout for the integrated circuit comprising the group bounding box are provided herein. That is, one or more group bounding boxes are defined based upon positional values of related cells. Such group bounding boxes are placed within the new layout based upon a placement technique, such as an objective function that takes into account wire length, timing, and cell density, for example. The one or more group bounding boxes are sized or reshaped to reduce cell overlap within the new layout. In this way, the new layout comprises related cells, bound by one or more group bounding boxes, that are placed within the new layout according to a configuration that mitigates wire length and timing delay of the integrated circuit.
    Type: Grant
    Filed: September 13, 2012
    Date of Patent: April 15, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Yi-Lin Chuang, Chun-Cheng Ku, Yun-Han Lee, Shao-Yu Wang, Wei-Pin Changchien, Chin-Chou Liu
  • Patent number: 8694933
    Abstract: Disclosed are methods, systems, and articles of manufacture for implementing electronic designs with simulation awareness. A schematic is identified or created and simulated at the schematic level to characterize the functional behavior of the circuit or to ensure the circuit design meets the required design specifications. Physical data of a component of the design is identified, created, or updated, and the electrical parasitic associated with physical data is characterized. One or more electrical characteristics associated with the parasitic is further characterized and mapped to the simulator to re-simulate the circuit design to analyze the impact of parasitics. Some embodiments re-run the same simulation process incrementally in an interactive manner by accepting incremental design or parameter changes from the design environment.
    Type: Grant
    Filed: December 30, 2010
    Date of Patent: April 8, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Prakash Gopalakrishnan, Michael McSherry, David White, Ed Fischer, Bruce Yanagida, Keith Dennison
  • Patent number: 8694942
    Abstract: A system and method are provided for laying out an integrated circuit design into a plurality of circuit layout cells having gaps therebetween, and inserting into each given one of at least a subset of the gaps, a corresponding filler cell selected from a predefined database in dependence upon a desired effect on a performance parameter of at least one circuit cell adjacent to the given gap. The circuit layout cells may be arranged in rows, and in some embodiments the selection of an appropriate filler cell for a given gap depends upon effects desired on a performance parameter of both circuit cells adjacent to the given gap. The predefined filler cells can include, for example, dummy diffusion regions, dummy poly lines, N-well boundary shifts and etch stop layer boundary shifts. In an embodiment, circuit layout cells can be moved in order to accommodate a selected filler cell.
    Type: Grant
    Filed: July 8, 2013
    Date of Patent: April 8, 2014
    Assignee: Synopsys, Inc.
    Inventors: Xi-Wei Lin, Jyh-Chwen Frank Lee, Dipankar Pramanik
  • Patent number: 8694940
    Abstract: A system and method for designing circuits, such as integrated circuits, that allow a designer to employ mixed cell libraries. In one embodiment, the system includes: (1) a cell placement EDA tool configured to transform a logical circuit representation into a physical circuit representation by placing cells from mixed cell libraries into clusters corresponding to the mixed cell libraries and (2) an interconnect routing EDA tool associated with the cell placement EDA tool and configured to route interconnects in buffer zones separating the clusters.
    Type: Grant
    Filed: July 11, 2012
    Date of Patent: April 8, 2014
    Assignee: LSI Corporation
    Inventors: William R. Griesbach, Clayton E. Schneider, Jr.
  • Publication number: 20140091407
    Abstract: Bulk acoustic wave filters and/or bulk acoustic resonators integrated with CMOS processes, methods of manufacture and design structures are disclosed. The method includes forming at least one beam comprising amorphous silicon material and providing an insulator material over and adjacent to the amorphous silicon beam. The method further includes forming a via through the insulator material and exposing a material underlying the amorphous silicon beam. The method further includes providing a sacrificial material in the via and over the amorphous silicon beam. The method further includes providing a lid on the sacrificial material and over the insulator material. The method further includes venting, through the lid, the sacrificial material and the underlying material to form an upper cavity above the amorphous silicon beam and a lower cavity below the amorphous silicon beam, respectively.
    Type: Application
    Filed: December 4, 2013
    Publication date: April 3, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Stephen E. LUCE, Anthony K. STAMPER
  • Patent number: 8689164
    Abstract: A computer-implemented method to generate a placement for a plurality of instances for an integrated circuit (IC) by utilizing a novel weighted-average (WA) wirelength model, which outperforms a well-known log-sum-exp wirelength model, to approximate the total wirelength. The placement is determined by performing an optimization process on an objective function which includes a wirelength function approximated by the WA wirelength model. The method can be extended to generate a placement for a plurality of instances for a three-dimensional (3D) integrated circuit (IC) which considers the sizes of through-silicon vias (TSVs) and the physical positions for TSV insertion. With the physical positions of TSVs determined during placement, 3D routing can easily be accomplished with better routed wirelength, TSV counts, and total silicon area.
    Type: Grant
    Filed: October 18, 2011
    Date of Patent: April 1, 2014
    Assignee: National Taiwan University
    Inventors: Valeriy Balabanov, Meng-Kai Hsu, Yao-Wen Chang
  • Patent number: 8689163
    Abstract: A semiconductor apparatus and a design method for the semiconductor apparatus allow debugging or repairs by using a spare cell. The semiconductor apparatus includes a plurality of metal layers. At least one repair block performs a predetermined function. A spare block is capable of substituting for a function of the repair block. And at least one of the plurality of metal layers is predetermined to be a repair layer for error revision. At least one pin of the repair block is connected to the repair layer through a first pin extension, and at least one pin of the spare block is capable of extending to the repair layer. When the repair block is to be repaired, the pin extension of the repair layer and the repair block is disconnected, and at least one pin of the spare block is connected to the repair layer through a second pin extension.
    Type: Grant
    Filed: December 1, 2010
    Date of Patent: April 1, 2014
    Assignees: Samsung Electronics Co., Ltd., IUCF-HYU (Industry-University Cooperation Foundation Hanyang University)
    Inventors: Dong-Yun Kim, Dong-Hoon Yeo, Hyun-Chul Shin, Kyung-Ho Kim, Byung-Tae Kang, Ju-Yong Shin, Sung-Chul Lee
  • Patent number: 8689170
    Abstract: In an embodiment, a buffer bay is represented with a moveable object that has a location within a unit in a netlist. The location of the moveable object that represents the buffer bay is changed to a new location in the netlist if changing the location improves placement within the unit. In an embodiment, a net weight of a net that connects the moveable object to an artificial pin is considered in determining whether to change the location to the new location. In an embodiment a bounding area that encompasses the location is considered in determining whether to change the location to the new location.
    Type: Grant
    Filed: February 27, 2013
    Date of Patent: April 1, 2014
    Assignee: International Business Machines Corporation
    Inventors: Matthew R. Ellavsky, Sean T. Evans, Timothy D. Helvey, Phillip P. Normand, Jason L. VanVreede, Bradley C. White
  • Patent number: 8689166
    Abstract: In the embodiments, a full resistive network is used to determine resistance contributions to the total parasitic resistance of each source/drain region of a multi-fin multi-gate field effect transistor (MUGFET). These resistance contributions include: a first resistance contribution of end portions of the fins, which are connected in pseudo-parallel by a local interconnect; second resistance contributions of segments of the local interconnect, which are connected in pseudo-series; and any other resistance contributions of any other resistive elements between the end portions of the fins and a single resistive element through which all the diffusion region current flows. The multi-fin MUGFET is then represented in a netlist as a simple field effect transistor with the total parasitic resistances represented as single resistive elements connected to the source/drain nodes of that field effect transistor. This simplified netlist is then used to simulate performance of the multi-fin MUGFET.
    Type: Grant
    Filed: April 25, 2012
    Date of Patent: April 1, 2014
    Assignee: International Business Machines Corporation
    Inventor: Ning Lu
  • Patent number: 8680524
    Abstract: A method of arranging pads in a semiconductor memory device, the semiconductor memory device using the method, and a processing system having mounted therein the semiconductor memory device. The method includes classifying pads provided in a memory chip of the semiconductor memory device into monitoring pads configured for a memory chip test on a wafer, a package pads configured for wire connection in a package, and common pads configured for both the memory chip test on the wafer and wire connection in the package and arranging the monitoring pads and the package pads separately in columns on the memory chip.
    Type: Grant
    Filed: July 1, 2011
    Date of Patent: March 25, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Chi-sung Oh
  • Patent number: 8683411
    Abstract: A global placer receives a plurality of regions, each region occupying a sub-area of a design area. receives a plurality of movebound objects, each movebound object associated with a region. The global placer receives a plurality of unconstrained objects, each unconstrained object associated with no region. The global placer receives a tolerance, wherein the placement tolerance defines a coronal fringe to at least one region. The global placer initially placing the plurality of movebound objects and unconstrained objects. The global placer iterates over objects without preference to region-affiliation to select an object, wherein the objects are comprised of the plurality of movebound objects and plurality of unconstrained objects. The global placer determines whether movebound object is within the tolerance of a region associated with the movebound object.
    Type: Grant
    Filed: August 27, 2010
    Date of Patent: March 25, 2014
    Assignee: International Business Machines Corporation
    Inventors: Charles J. Alpert, John L. McCann, Gi-Joon Nam, Shyam Ramji, Taraneh Taghavi, Natarajan Viswanathan
  • Patent number: 8683414
    Abstract: A semiconductor integrated circuit device having a control signal system for avoiding failure to check an indefinite signal propagation prevention circuit, for facilitating a check included in an automated tool, and for facilitating a power shutdown control inside a chip. In the semiconductor integrated circuit device, power shutdown priorities are provided by independent power domains (Area A to Area I). A method for preventing a power domain having a lower priority from being turned OFF when a circuit having a high priority is turned ON is also provided.
    Type: Grant
    Filed: April 18, 2013
    Date of Patent: March 25, 2014
    Assignee: Renesas Electronics Corporation
    Inventors: Yusuke Kanno, Hiroyuki Mizuno, Yoshihiko Yasu, Kenji Hirose, Takahiro Irita
  • Patent number: 8683412
    Abstract: Disclosed are improved methods, systems, and computer program products for generating and optimizing an I/O ring arrangement for an electronic design. Corner packing is one approach that can be taken to optimizing an I/O ring. Stacking of I/O components provides another approach for optimizing an I/O ring.
    Type: Grant
    Filed: December 23, 2010
    Date of Patent: March 25, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Thaddeus Clay McCracken, Miles P. McGowan
  • Patent number: 8683415
    Abstract: A disclosed method includes: accepting designation of a condition of grouping plural signal lines to be wired from a user; and switching and carrying out a grouping of the plural signal lines into plural groups based on the designated condition and a disposition pattern of start terminals and end terminals of the plural signal lines. The condition may be designated from a first requirement, a second requirement and a third requirement that includes the first requirement and the second requirement and in which a priority is set to the first requirement or the second requirement.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: March 25, 2014
    Assignee: Fujitsu Limited
    Inventors: Yoshitaka Nishio, Motoyuki Tanisho
  • Patent number: 8683406
    Abstract: A patterning method includes defining, in the case of an electric current which exceeds an allowable limit flowing between first conduction type well regions arranged in a semiconductor substrate, a first pattern between the first conduction type well regions; defining a second pattern by removing, in the case of a first region in which arrangement is inhibited being in the first pattern, the first region from the first pattern; defining a third pattern by removing, in the case of a second region which exceeds a fabrication limit being in the second pattern, the second region from the second pattern; and using the third pattern as a dummy active region in a second conduction type well region arranged in the semiconductor substrate.
    Type: Grant
    Filed: August 6, 2012
    Date of Patent: March 25, 2014
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Mitsuaki Igeta, Masahiro Sueda, Rikio Takase, Akihiro Usujima
  • Patent number: 8683418
    Abstract: A dynamic system coupled with “pre-Silicon” design methodologies and “post-Silicon” current optimizing programming methodologies to improve and optimize current delivery into a chip, which is limited by the physical properties of the connections (e.g., Controlled Collapse Chip Connection or C4s). The mechanism consists of measuring or estimating power consumption at a certain granularity within a chip, converting the power information into C4 current information using a method, and triggering throttling mechanisms (including token based throttling) where applicable to limit the current delivery per C4 beyond pre-established limits or periods. Design aids are used to allocate C4s throughout the chip based on the current delivery requirements. The system coupled with design and programming methodologies improve and optimize current delivery is extendable to connections across layers in a multilayer 3D chip stack.
    Type: Grant
    Filed: June 18, 2012
    Date of Patent: March 25, 2014
    Assignee: International Business Machines Corporation
    Inventors: Pradip Bose, Alper Buyuktosunoglu, John A. Darringer, Moinuddin K. Qureshi, Jeonghee Shin
  • Publication number: 20140077854
    Abstract: This disclosure relates generally to sequential state elements (SSEs). More specifically, embodiments of flip-flops are disclosed, along with computerized methods and systems of designing the same. In one embodiment, the flip-flop includes a substrate and subcircuits that are formed on the substrate. The subcircuits provide subfunctions, wherein each of the subcircuits provides at least one of the subfunctions. More specifically, the subfunctions are provided in a sequential logical order by the subcircuits so that the flip-flop provides a flip-flop function. However, the subcircuits are interleaved out of the sequential logical order with respect to a corresponding subfunction provided by each of the subcircuits along a vector defined by the substrate. In this manner, interleaving the subcircuits along the vector of the substrate can provide separation between charge collection nodes without requiring increases in size. Thus, the flip-flop can be more compact and less expensive to manufacture.
    Type: Application
    Filed: September 19, 2013
    Publication date: March 20, 2014
    Applicant: Arizona Board of Regents, a body corporated of the State of Arizona, acting for and on behalf of Ari
    Inventors: Lawrence T. Clark, Sandeep Shambhulingaiah, Sushil Kumar, Chandarasekaran Ramamurthy
  • Patent number: 8677292
    Abstract: A method of designing an integrated circuit includes providing a standard cell database including a plurality of standard cells; providing an index file having cell-context information indexed to the plurality of standard cells; retrieving the cell-context information of one of the plurality of standard cells from the cell-context file; and applying the index information to a design of the integrated circuit.
    Type: Grant
    Filed: February 18, 2010
    Date of Patent: March 18, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chung-Min Fu, Yen-Pin Chen, Yung-Fong Lu
  • Patent number: 8677300
    Abstract: Contour-related information for geometric elements in layout design data is obtained. Relevant portions of the contour-related information are provided to a canonical hash function, from which a canonical signature for the layout design data is generated.
    Type: Grant
    Filed: February 1, 2012
    Date of Patent: March 18, 2014
    Assignee: Mentor Graphics Corporation
    Inventors: Sandeep Koranne, Bikram Garg
  • Patent number: 8671370
    Abstract: Software for designing and testing types of nanoelectronic circuits and larger scale electronics renderings is described. The software designs circuits comprising only a chain/leapfrog topology. The chain/leapfrog topology permits a wide range of circuits and circuit modules to be implemented on a common shared carbon nanotube, graphene nanoribbon, or strips of other types of semiconducting material, for example as rendered in traditional printed electronics and nanoscale printed electronics or as employing semiconducting polymers. In one approach a chain/leapfrog topology circuit design software tool accesses information in a library of chain/leapfrog circuits data, and creates descriptive data pertaining to a number of approaches to rendering electronics components using a library of component data. The chain/leapfrog circuits data library includes designs for a number of different types of chain/leapfrog circuit modules.
    Type: Grant
    Filed: June 1, 2010
    Date of Patent: March 11, 2014
    Assignee: Pike Group LLC
    Inventor: Lester F. Ludwig
  • Patent number: 8671382
    Abstract: A method of generating resistance-capacitance (RC) technology files is disclosed. The method comprises receiving a plurality of metal schemes from an IC foundry and dividing the plurality of metal schemes into one or more modular RC groups. The method further comprises identifying a modular RC structure; calculating capacitance values of the modular RC structure by means of a field solver; calculating an equivalent dielectric constant and an equivalent height of the RC structure based upon a variety of interconnect layers not having interconnects; calculating an equivalent dielectric constant and an equivalent height for each of the plurality of metal schemes; and deriving capacitance values of each of the plurality of metal schemes from the capacitance values of the modular RC structure.
    Type: Grant
    Filed: April 8, 2013
    Date of Patent: March 11, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ke-Ying Su, Hsiao-Shu Chao, Yi-Kan Cheng, Yung-Chin Hou
  • Patent number: 8671376
    Abstract: A floor planning tool is provided that performs the functions that are typically performed by floor planning tools, but in addition, determines the supply of routing resources and the demand on routing resources for all routing channels while applying variable routing rules and static timing estimations to arrive at a preliminary routed floor plan. This drastically reduces the number of iterations that subsequently will need to be performed by the floor planning tool and by routing and static timing analysis tools to arrive at a final routed floor plan.
    Type: Grant
    Filed: March 28, 2012
    Date of Patent: March 11, 2014
    Assignee: Avago Technologies General IP (Singapore) Pte. Ltd.
    Inventors: Jason T. Gentry, Brady A. Koenig, Richard S. Rodgers
  • Publication number: 20140061936
    Abstract: Roughly described, an integrated circuit device has a conductor extending entirely through the substrate, connected on one end to the substrate topside surface and on the other end to the substrate backside surface. In various embodiments the conductor is insulated from all RDL conductors on the backside of the substrate, and/or is insulated from all conductors and device features on any below-adjacent chip in a 3D integrated circuit structure. Methods of fabrication are also described.
    Type: Application
    Filed: August 31, 2012
    Publication date: March 6, 2014
    Inventors: Victor Moroz, Jamil Kawa
  • Patent number: 8667439
    Abstract: Systems and methods described herein are directed to solutions for Network on Chip (NoC) interconnects that automatically and dynamically determines the position of various hosts in a NoC topology based on the connectivity, bandwidth and latency requirements of the system traffic flows and certain performance optimization metrics such as system interconnect latency and interconnect cost. The example implementations selects hosts for relocation consideration and determines a new possible position for them in the NoC based on the system traffic specification, and using probabilistic functions to decide if the relocation is carried out or not. The procedure is repeated over new sets of hosts until certain optimization targets are satisfied or repetition count is exceeded.
    Type: Grant
    Filed: August 7, 2013
    Date of Patent: March 4, 2014
    Assignee: NetSpeed Systems
    Inventors: Sailesh Kumar, Eric Norige
  • Patent number: 8667441
    Abstract: A physical synthesis tool for dock optimization with local clock buffer control optimization is provided. The physical synthesis flow consists of delaying the exposure of clock routes until after the clock optimization placement stage. The physical synthesis tool clones first local clock buffers. Then, the physical synthesis tool runs timing analysis on the whole design to compute the impact of this necessarily disruptive step. After cloning local clock buffers, the physical synthesis tool adds an extra optimization step to target the control signals that drive the local clock buffers. This optimization step may includes latch cloning, timing-driven placement, buffer insertion, and repowering. The flow alleviates high-fanout nets and produces significantly better timing going into clock optimization placement. After placement, the physical synthesis tool fixes latches and local clock buffers in place, inserts clock routes, and repowers local clock buffers.
    Type: Grant
    Filed: November 16, 2010
    Date of Patent: March 4, 2014
    Assignee: International Business Machines Corporation
    Inventors: Charles J. Alpert, Zhuo Li, Gi-Joon Nam, David A. Papa, Chin Ngai Sze, Natarajan Viswanathan
  • Patent number: 8667455
    Abstract: A system or technique provides for a hierarchical visual-based analysis of electrical integrated circuit system simulation results. A 3D visualization may be used to identify and conduct an analysis of the integrated circuit. An analysis is done on a specific feature of the integrated circuit that is visible in the 3D visualization. The specific feature may be one that is obscured by other layers of the integrated circuit visualization.
    Type: Grant
    Filed: June 13, 2011
    Date of Patent: March 4, 2014
    Assignee: Worldwide Pro Ltd.
    Inventor: William Wai Yan Ho
  • Patent number: 8667437
    Abstract: A computer-implemented method of converting a circuit design for a programmable logic device (PLD) to a standard cell circuit design can include unmapping a PLD circuit design to a gate level netlist, mapping logic gates of the netlist to functionally equivalent standard cells, and including the standard cells within the standard cell circuit design. Design constraints for the standard cell circuit design can be automatically generated. The design constraints for the standard cell circuit design can be output.
    Type: Grant
    Filed: March 17, 2008
    Date of Patent: March 4, 2014
    Assignee: Xilinx, Inc.
    Inventors: Salil Ravindra Raje, Dinesh D. Gaitonde
  • Patent number: 8667443
    Abstract: A method is disclosed for defining a multiple patterned cell layout for use in an integrated circuit design. A layout is defined for a level of a cell in accordance with a dynamic array architecture so as to include a number of layout features. The number of layout features are linear-shaped and commonly oriented. The layout is split into a number of sub-layouts for the level of the cell. Each of the number of layout features in the layout is allocated to any one of the number of sub-layouts. Also, the layout is split such that each sub-layout is independently fabricatable. The sub-layouts for the level of the cell are stored on a computer readable medium.
    Type: Grant
    Filed: March 3, 2008
    Date of Patent: March 4, 2014
    Assignee: Tela Innovations, Inc.
    Inventors: Michael C. Smayling, Scott T. Becker
  • Publication number: 20140054722
    Abstract: A finFET block architecture suitable for use of a standard cell library, is based on an arrangement including a first set of semiconductor fins in a first region of the substrate having a first conductivity type, and a second set of semiconductor fins in a second region of the substrate, the second region having a second conductivity type. A patterned gate conductor layer including gate traces in the first and second regions, arranged over channel regions of the first and second sets of semiconductor fins is used for transistor gates. Patterned conductor layers over the gate conductor layer are arranged in orthogonal layout patterns, and can include a plurality of floating power buses over the fins in the first and second regions.
    Type: Application
    Filed: October 29, 2013
    Publication date: February 27, 2014
    Applicant: SYNOPSYS, INC.
    Inventors: JAMIL KAWA, VICTOR MOROZ, DEEPAK D. SHERLEKAR
  • Patent number: 8661388
    Abstract: A multi-packing tree (MPT) macro placer. The MPT macro placer comprises reading input files in a LEF/DEF format, creating a k-level binary multi-packing tree comprising k branch nodes each corresponding to one level and k+1 packing sub-trees each corresponding to one of the nodes and comprising a group of macros, optimizing the multi-packing tree according to a packing result thereof, and generating output files in a DEF format.
    Type: Grant
    Filed: October 1, 2009
    Date of Patent: February 25, 2014
    Assignee: Mediatek Inc.
    Inventors: Tung-Chieh Chen, Ping-Hung Yu, Yao-Wen Chang, Fwu-Juh Huang, Tien-Yueh Liu
  • Patent number: 8661389
    Abstract: A method of designing an integrated circuit includes providing a cell library including a first and second cell structures. The cell structures each include a dummy gate electrode disposed on a boundary. An edge gate electrode is disposed adjacent to the dummy gate electrode. An oxide definition (OD) region has an edge disposed between the edge gate electrode and the dummy gate electrode. The method includes determining if the cell structures are to be abutted with each other. If so, the method includes abutting the cell structures. If not so, the method includes increasing areas of portions of the OD regions between the edge gate electrodes and the dummy gate electrodes.
    Type: Grant
    Filed: April 12, 2011
    Date of Patent: February 25, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chan-Hong Chern, Fu-Lung Hsueh, Li-Chun Tien
  • Patent number: 8661393
    Abstract: A disclosed method for evaluating placement context sensitivity in the design of an integrated circuit includes accessing a standard cell library comprising a database of standard cells and determining generating boundary data for each of the standard cells. The boundary data for a standard cell indicates the layout of features located within boundary regions of the standard cell. The method includes merging or consolidating boundary data for any two standard cells if their boundary data is the same to determine a canonical or minimal set of boundary regions. The disclosed method further includes enumerating and evaluating all combinations of pairs of the canonical boundary regions and, responsive to identifying of a proximity-based sensitivity or exception, modifying, notating, or otherwise remediating the applicable one or more standard cells that correspond to the boundary region combination that raised the exception.
    Type: Grant
    Filed: June 28, 2012
    Date of Patent: February 25, 2014
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Robert E. Boone, Puneet Sharma, Matthew A. Thompson
  • Patent number: 8661391
    Abstract: Spare cells are inserted in a region of an integrated circuit design based on a logic complexity of the region. The logic complexity can be computed based on the number of reachable states of digital logic in the region, and can be correlated to a desired spare cell insertion rate which is then compared to the actual spare cell utilization in the region. The target spare cell rate can further based on logic complexity values for neighboring regions with a proximity penalty.
    Type: Grant
    Filed: January 2, 2013
    Date of Patent: February 25, 2014
    Assignee: International Business Machines Corporation
    Inventors: Manikandan Viswanath, Samuel I. Ward
  • Patent number: 8661392
    Abstract: A semiconductor device is disclosed to include a plurality of cells. Each of the cells has a respective outer cell boundary defined to circumscribe the cell in an orthogonal manner. Also, each of the cells includes circuitry for performing one or more logic functions. This circuitry includes a plurality of conductive features defined in one or more levels of the cell. One or more of the conductive features in at least one level of a given cell is an encroaching feature positioned to encroach by an encroachment distance into an exclusion zone. The exclusion zone occupies an area within the cell defined by an exclusion distance extending perpendicularly inward into the given cell from a first segment of the outer cell boundary. The exclusion distance is based on a design rule distance representing a minimum separation distance required between conductive features in adjacently placed cells on the semiconductor device.
    Type: Grant
    Filed: October 13, 2010
    Date of Patent: February 25, 2014
    Assignee: Tela Innovations, Inc.
    Inventors: Jonathan R. Quandt, Scott T. Becker, Dhrumil Gandhi
  • Patent number: 8661390
    Abstract: A method is directed to automatic extraction of block binders before block placement and application of block binders in block placement of an integrated circuit. Having block binders reduces the effective block count the block placement has to handle, and enables obtaining better placement result in shorter run time. The method includes an algorithm of processing the nodes of a hierarchical net-list to identify candidate nodes or create new candidate nodes to contain identified nodes. The method includes an algorithm of extracting a block binder out of blocks under each candidate node. The method includes an algorithm of automatic packing and generation of various configurations for a block binder to provide flexibility in block placement. The method also includes adapting any block placement algorithm to select to the best fit configuration of any block binder during the placement process.
    Type: Grant
    Filed: February 13, 2012
    Date of Patent: February 25, 2014
    Inventor: Chihliang (Eric) Cheng
  • Publication number: 20140053122
    Abstract: A method for adjusting a layout of an integrated circuit includes a first layer, a second layer, a target metal line, and a first non-target metal line. The integrated circuit is configured for a focused ion beam (FIB) detection to the target metal line. The method includes the steps of: disposing the first non-target metal line on the first layer; disposing the target metal line on the second layer; and adjusting one of the target metal line and the first non-target metal line such that the target metal line can be detected by the FIB detection.
    Type: Application
    Filed: August 20, 2012
    Publication date: February 20, 2014
    Applicant: NATIONAL CHIAO TUNG UNIVERSITY
    Inventors: Mango C.-T. CHAO, Kuo-An CHEN, Tsung-Wei CHANG
  • Patent number: 8656332
    Abstract: A method, computer program product, and data processing system for efficiently performing automated placement of timing-critical unit-level cells in a hierarchical integrated circuit design is disclosed. In preparation for global optimization the entire unit at the cell level, macro-level cells are assigned a “placement force” that serves to limit the movement of the macro-level cells from their current position. Movement boundaries for each macro element are also defined, so as to keep the components in a given macro element in relative proximity to each other. Optimization/placement of the unit design is then performed, via a force-directed layout algorithm, on a “flattened” model of the design while respecting the movement boundaries. Following this “flattened” optimization, the placed “unit-level” cells are modeled as blockages and the macro elements are optimized individually, while respecting the location(s) of the blockages.
    Type: Grant
    Filed: February 26, 2009
    Date of Patent: February 18, 2014
    Assignee: International Business Machines Corporation
    Inventors: Bruce M. Fleischer, David J. Geiger, Hung C. Ngo, Ruchir Puri, Haoxing Ren
  • Patent number: 8656333
    Abstract: A plurality of approaches for forming a semiconductor device using an adaptive patterning method is disclosed. Some approaches include placing a semiconductor die unit on a carrier element, calculating trace geometry for a second set of traces, constructing a prestratum comprising a first set of traces, and constructing the second set of traces according to the calculated trace geometry. Forming the semiconductor device may further include electrically connecting at least one of the first set of traces to at least one of the second set of traces, and electrically connecting at least one bond pad of the semiconductor die unit to a destination pad through the at least one of the first set of traces and the at least one of the second set of traces.
    Type: Grant
    Filed: May 7, 2012
    Date of Patent: February 18, 2014
    Assignee: Deca Technologies, Inc.
    Inventors: Craig Bishop, Christopher Scanlan, Tim Olson
  • Patent number: 8656335
    Abstract: A system and several methods for inferring higher level descriptions of circuit connectivity from register transfer level (RTL) netlists in order to provide more understandable and manageable design descriptions for complex System-on-Chip (SOC) designs, is provided. In particular, interface matching based on connectivity propagation is automatically performed whereby port names and properties on instances of functional elements and blocks are propagated to top level design ports as well as other instances of functional elements and blocks to create a more robust description of connectivity according to the RTL netlist, and to automatically form signal groupings that comprise a higher-level abstracted description. Also, a facility is included to allow user-guided grouping of instantiated interfaces with respect to actual signal names and properties in an RTL-level design.
    Type: Grant
    Filed: June 25, 2012
    Date of Patent: February 18, 2014
    Assignee: Atrenta, Inc.
    Inventors: Anshuman Nayak, Samantak Chakrabarti, Brijesh Agrawal, Nitin Bhardwaj
  • Patent number: 8650516
    Abstract: Methods and systems for generating and verifying circuit layouts from computer-aided design tools for vertically integrated, three-dimensional integrated circuits are disclosed. In one instance, a 3-D technology file of these teachings is obtained by providing an identifier for two or more circuit levels, providing for each one of the two or more circuit levels an identifier for a 2-D technology file corresponding to each of the one or more circuit levels and providing a file structure including the two or more circuit levels and each identifier, corresponding to each one of the one or more circuit levels, for the 2-D technology file corresponding to each one of the two or more circuit levels. Other embodiments are disclosed.
    Type: Grant
    Filed: August 14, 2012
    Date of Patent: February 11, 2014
    Inventor: Lisa G. McIlrath
  • Patent number: 8650529
    Abstract: An automated system, and method of operating the same, for assisting the layout of components and the routing of conductors in a layout of an integrated circuit. An asymmetric zoom command is provided, by way of which the user can magnify the current view of a portion of the layout in one dimension while maintaining the original magnification in the orthogonal dimension. The commands can be conveyed by keystrokes, or by a command in combination with a drawn rectangle indicating the extent of the asymmetric zoom magnification. Both asymmetric zoom-in and asymmetric zoom-out are supported.
    Type: Grant
    Filed: February 7, 2012
    Date of Patent: February 11, 2014
    Assignee: Texas Instruments Incorporated
    Inventor: Patrick W. Bosshart
  • Publication number: 20140035152
    Abstract: A semiconductor chip is defined to include a logic block area having a first chip level in which layout features are placed according to a first virtual grate, and a second chip level in which layout features are placed according to a second virtual grate. A rational spatial relationship exists between the first and second virtual grates. A number of cells are placed within the logic block area. Each of the number of cells is defined according to an appropriate one of a number of cell phases. The appropriate one of the number of cell phases causes layout features in the first and second chip levels of a given placed cell to be aligned with the first and second virtual grates as positioned within the given placed cell.
    Type: Application
    Filed: September 27, 2013
    Publication date: February 6, 2014
    Applicant: TELA INNOVATIONS, INC.
    Inventors: Jonathan R. Quandt, Scott T. Becker, Dhrumil Gandhi
  • Publication number: 20140035108
    Abstract: A semiconductor integrated circuit and a pattern lay-outing method for the same are disclosed, which can suppress bending or partial drop-out of a dummy pattern even when a mechanical stress acts on the dummy pattern in CMP. The semiconductor integrated circuit includes predetermined functional areas and a dummy pattern formed in a space area. The space area is positioned between predetermined functional areas. The dummy pattern includes a first metal portion formed in the shape of a frame and defining an outer edge of the dummy pattern, a second metal portion positioned on an inner periphery side of the first metal portion and formed so as to be continuous with the first metal portion, and a plurality of non-forming areas positioned in an area where the second metal portion is not formed on the inner periphery side of the first metal portion.
    Type: Application
    Filed: August 26, 2013
    Publication date: February 6, 2014
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventor: Kazuya KAMON
  • Publication number: 20140035053
    Abstract: A finFET block architecture includes a first set of semiconductor fins having a first conductivity type, and a second set of semiconductor fins having a second conductivity type. An inter-block insulator is placed between outer fins of the first and second sets. A patterned gate conductor layer includes a first plurality of gate traces extending across the set of fins in the first block without crossing the inter-block insulator, and a second plurality of gate traces extending across the set of fins in the second block without crossing the inter-block insulator. Patterned conductor layers over the gate conductor layer are arranged in orthogonal layout patterns, and include an inter-block connector arranged to connect gate traces in the first and second blocks.
    Type: Application
    Filed: October 10, 2013
    Publication date: February 6, 2014
    Applicant: SYNOPSYS, INC
    Inventors: JAMIL KAWA, VICTOR MOROZ, DEEPAK D. SHERLEKAR
  • Publication number: 20140040847
    Abstract: One aspect provides a system for generating a layout for dual patterning technologies. In one embodiment, the system includes: (1) a deterministic boundary interconnect feature generator configured to generate a deterministic boundary interconnect feature for a cell based on at least one dual patterning design rule; and (2) cell placement and interconnect routing tools associated with the deterministic boundary interconnect feature generator and configured to place the deterministic boundary interconnect feature.
    Type: Application
    Filed: August 1, 2012
    Publication date: February 6, 2014
    Applicant: LSI Corporation
    Inventors: John A. Milinichik, Yehuda Smooha, Daniel J. Delpero, Gregg R. Harleman, Scott N. Bertino