Insulative Material Deposited Upon Semiconductive Substrate Patents (Class 438/778)
  • Patent number: 9153658
    Abstract: A gate insulating film of a conventional semiconductor device is subjected to dielectric breakdown at a low electric field strength and thus its service life is short. This is because since the size of the asperity of at least one of a semiconductor layer-side interface and an electrode-side interface is large and, an electric field applied to the gate insulating film is locally concentrated and has a variation in its strength. This problem is solved by specifying the sizes of the asperities of both interfaces of the gate insulating film.
    Type: Grant
    Filed: November 16, 2012
    Date of Patent: October 6, 2015
    Assignee: TOHOKU UNIVERSITY
    Inventors: Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa
  • Patent number: 9147618
    Abstract: A method of providing a semiconductor structure comprising a diffusion barrier layer and a seed layer, the seed layer comprising an alloy of copper and a metal other than copper, depositing an electrically conductive material on the seed layer, performing an annealing process, wherein at least a first portion of the metal other than copper diffuses away from a vicinity of the diffusion barrier layer through the electrically conductive material, and wherein, in case of a defect in the diffusion barrier layer, a second portion of the metal other than copper indicative of the defect remains in a vicinity of the defect, measuring a distribution of the metal other than copper in at least a portion of the semiconductor structure, and determining, from the measured distribution of the metal other than copper, if the second portion of the metal other than copper is present.
    Type: Grant
    Filed: October 22, 2013
    Date of Patent: September 29, 2015
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Frank Koschinsky, Bernd Hintze, Dirk Utess
  • Patent number: 9147589
    Abstract: A processing system includes a chamber and a steam source that supplies steam in the chamber. A UV source directs UV light onto a deposited layer of a substrate in the presence of the steam from the steam source for a predetermined conversion period to at least partially convert the deposited layer.
    Type: Grant
    Filed: July 31, 2013
    Date of Patent: September 29, 2015
    Assignee: NOVELLUS SYSTEMS, INC.
    Inventors: Bhadri N Varadarajan, Bart Van Schravendijk
  • Patent number: 9117657
    Abstract: A method for filling recesses of a substrate with an insulation film includes: (i) exposing surfaces of the recesses of the substrate to a pre-deposition gas in a reactive state in a reaction space to treat the surfaces with reactive hydrocarbons generated from the pre-deposition gas without filling the recesses; and (ii) depositing a flowable insulation film using a process gas other than the pre-deposition gas on a surface of the substrate to fill the recesses treated in step (i) therewith by plasma reaction. The pre-deposition gas has at least one hydrocarbon unit in its molecule.
    Type: Grant
    Filed: June 7, 2013
    Date of Patent: August 25, 2015
    Assignee: ASM IP HOLDING B.V.
    Inventors: Akinori Nakano, Shintaro Ueda
  • Patent number: 9117818
    Abstract: Techniques related to nanocomposite dielectric materials are generally described herein. These techniques may be embodied in apparatuses, systems, methods and/or processes for making and using such material. An example process may include: providing a film having a plurality of nanoparticles and an organic medium; comminuting the film to form a particulate; and applying the particulate to a substrate. The example process may also include providing a nanoparticle film having nanoparticles and voids located between the nanoparticles; contacting the film with a vapor containing an organic material; and curing the organic material to form the nanocomposite dielectric film. Various described techniques may provide nanocomposite dielectric materials with superior nanoparticle dispersion which may result in improved dielectric properties.
    Type: Grant
    Filed: November 11, 2014
    Date of Patent: August 25, 2015
    Assignee: EMPIRE TECHNOLOGY DEVELOPMENT LLC
    Inventor: Seth Miller
  • Patent number: 9117653
    Abstract: A method for in-situ dry cleaning of a Ge containing semiconductor surface, other than SiGe. The method is conducted in a vacuum chamber. An oxygen monolayer(s) is formed and promotes removal of essentially all carbon from the surface, and serves to both clean and functionalize the surface. The Ge semiconductor surface is then annealed at a temperature below that which would induce dopant diffusion.
    Type: Grant
    Filed: October 24, 2013
    Date of Patent: August 25, 2015
    Assignee: The Regents of the University of California
    Inventors: Tobin Kaufman-Osborn, Andrew C. Kummel, Kiarash Kiantaj
  • Patent number: 9093268
    Abstract: Semiconductor devices including porous low-k dielectric layers and fabrication methods are provided. A dielectric layer is formed on a substrate by introducing and polymerizing a main reaction gas on a surface of the substrate. The main reaction gas has a chemical structure including a ring-shaped group, silicon, carbon, and hydrogen, and the ring-shaped group includes at least carbon and hydrogen. A porous low-k dielectric layer is then formed from the dielectric layer by curing the dielectric layer with UV light.
    Type: Grant
    Filed: February 12, 2014
    Date of Patent: July 28, 2015
    Assignee: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
    Inventor: Ming Zhou
  • Patent number: 9076874
    Abstract: An object is to provide a structure of a transistor which has a channel formation region formed using an oxide semiconductor and a positive threshold voltage value, which enables a so-called normally-on switching element. The transistor includes an oxide semiconductor stack in which at least a first oxide semiconductor layer and a second oxide semiconductor layer with different energy gaps are stacked and a region containing oxygen in excess of its stoichiometric composition ratio is provided.
    Type: Grant
    Filed: June 5, 2012
    Date of Patent: July 7, 2015
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Tatsuya Honda
  • Patent number: 9076649
    Abstract: A method of forming a thin film on a surface of target objects in a vacuum-evacuable processing chamber by using a source gas and a reaction gas includes: forming a mixed gas by mixing the source gas and an inert gas in a gas reservoir tank, and supplying the mixed gas and the reaction gas into the processing chamber.
    Type: Grant
    Filed: May 30, 2013
    Date of Patent: July 7, 2015
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Keisuke Suzuki, Kentaro Kadonaga, Volker Hemel, Bernhard Zobel
  • Patent number: 9048294
    Abstract: Described are manganese-containing films, as well as methods for providing the manganese-containing films. Doping manganese-containing films with Co, Mn, Ru, Ta, Al, Mg, Cr, Nb, Ti or V allows for enhanced copper barrier properties of the manganese-containing films. Also described are methods of providing films with a first layer comprising manganese silicate and a second layer comprising a manganese-containing film.
    Type: Grant
    Filed: April 11, 2013
    Date of Patent: June 2, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Jing Tang, Zhefeng Li, Paul F. Ma, David Thompson
  • Patent number: 9049061
    Abstract: This invention discloses a CMOS device, which includes: a first MOSFET; a second MOSFET different from the type of the first MOSFET; a first stressed layer covering the first MOSFET and having a first stress; and a second stressed layer covering the second MOSFET, wherein the second stressed layer is doped with ions, and thus has a second stress different from the first stress. This invention's CMOS device and method for manufacturing the same make use of a partitioned ion implantation method to realize a dual stress liner, without the need of removing the tensile stressed layer on the PMOS region or the compressive stressed layer on the NMOS region by photolithography/etching, thus simplifying the process and reducing the cost, and at the same time, preventing the stress in the liner on the NMOS region or PMOS region from the damage that might be caused by the thermal process of the deposition process.
    Type: Grant
    Filed: April 11, 2012
    Date of Patent: June 2, 2015
    Assignee: The Institute of Microelectronics Chinese Academy of Science
    Inventors: Qiuxia Xu, Chao Zhao, Gaobo Xu
  • Publication number: 20150147892
    Abstract: A method for fabricating a semiconductor structure is provided, including: providing a solid precursor having a first average particle size; solving the solid precursor in an organic solvent into an intermediate; recrystallizing the intermediate to form solid granules, wherein the solid granules has a second average particle size larger than the first average particle size; vaporizing the solid granules to form a film-forming gas; and depositing the film-forming gas on a substrate to form a resistance film. A method for modifying a resistance film source in a semiconductor fabrication and a solid precursor delivery system are also provided. The method for fabricating a semiconductor structure in the present disclosure can remove small particles or ultra-small particles from solid precursor, and does not need extra time to dump cracked solid precursor.
    Type: Application
    Filed: November 27, 2013
    Publication date: May 28, 2015
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chung-Liang CHENG, Chien-Hao TSENG, Yen-Yu CHEN, Ching-Chia WU, Chang-Sheng LEE, Wei ZHANG
  • Patent number: 9034774
    Abstract: This film forming method comprises: a first material gas supply step (A) wherein a first raw material gas is supplied over the substrate to be processed so that a first chemical adsorption layer, which is adsorbed on the substrate by means of the first raw material gas is formed on the substrate to be processed, a second material gas supply step (C) wherein a second raw material that is different from the first raw material gas is supplied over the substrate, on which the first chemical adsorption layer has been formed, so that a second chemical adsorption layer, which is adsorbed by means of the second raw material gas, is formed on the first chemical adsorption layer; and a plasma processing step (E) wherein a plasma processing is carried on at least the first and second chemical adsorption layers using microwave plasma.
    Type: Grant
    Filed: April 23, 2012
    Date of Patent: May 19, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Kouji Tanaka, Hirokazu Ueda
  • Publication number: 20150132972
    Abstract: A substrate processing apparatus includes: a reaction tube configured to accommodate a plurality of substrates and to be supplied with a gas generated by vaporizing or turning into mist a solution containing a reactant in a solvent; a lid configured to close the reaction tube; a first heater configured to heat the plurality of substrates; a thermal conductor placed on the lid on an upper surface thereof; a second heater placed outside the reaction tube around a side thereof, the second heater being configured to heat the gas flowing near the lid; and a heating element placed on the lid on a lower surface thereof, the heating element configured to heat the lid.
    Type: Application
    Filed: January 26, 2015
    Publication date: May 14, 2015
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Yuichi WADA, Hiroshi ASHIHARA, Hideto TATENO, Harunobu SAKUMA
  • Publication number: 20150132928
    Abstract: A technique for forming nanostructures including introducing a plurality of molecular-size scale and/or nanoscale building blocks to a region near a substrate and simultaneously scanning a pattern on the substrate with an energy beam, wherein the energy beam causes a change in at least one physical property of at least a portion of the building blocks, such that a probability of the portion of the building blocks adhering to the pattern scanned by the energy beam is increased, and wherein the building blocks adhere to the pattern to form the structure. The energy beam and at least a portion of the building blocks may interact by electrostatic interaction to form the structure.
    Type: Application
    Filed: January 20, 2015
    Publication date: May 14, 2015
    Applicant: MASSACHUSETTS INSTITUTE OF TECHNOLOGY
    Inventors: Joseph M. Jacobson, David Kong, Vikas Anant, Ashley Salomon, Saul Griffith, Will DelHagen, Vikrant Agnihotri
  • Publication number: 20150130027
    Abstract: A method of forming a carbon-containing thin film and a method of manufacturing a semiconductor device using the method of forming the carbon-containing thin film are described. The method of forming a carbon-containing thin film includes the steps of introducing a substrate into a chamber, injecting hydrocarbon gas and at least nitrogen gas simultaneously into the chamber, and depositing a carbon-containing thin film including carbon and nitrogen on the substrate, thereby forming a carbon-containing thin film having high selectivity and uniform thickness.
    Type: Application
    Filed: November 11, 2014
    Publication date: May 14, 2015
    Inventors: Se jun PARK, Ho jun KIM, Jaihyung WON, Gyuwan CHOI, Dohyung KIM
  • Patent number: 9027508
    Abstract: A periphery coating unit performs a scan-in process of moving a resist liquid nozzle 27 from an outside of an edge Wb of a wafer W to a position above a periphery region Wc of the wafer W while rotating the wafer W and discharging a resist liquid from the resist liquid nozzle 27; and a scan-out process of moving the resist liquid nozzle 27 from the position above the periphery region Wc of the wafer W to the outside of the edge Wb of the wafer W while rotating the wafer W and discharging the resist liquid from the resist liquid nozzle 27. Further, in the scan-out process, the resist liquid nozzle 27 is moved at a speed v2 lower than a speed v3 at which the resist liquid is moved to a side of the edge Wb of the wafer W.
    Type: Grant
    Filed: December 3, 2013
    Date of Patent: May 12, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Shinichi Hatakeyama, Yoshitomo Sato, Kazuyuki Tashiro, Naofumi Kishita
  • Patent number: 9029171
    Abstract: The present disclosure relates to a structure and method to create a self-repairing dielectric material for semiconductor device applications. A porous dielectric material is deposited on a substrate, and exposed with treating agent particles such that the treating agent particles diffuse into the dielectric material. A dense non-porous cap is formed above the dielectric material which encapsulates the treating agent particles within the dielectric material. The dielectric material is then subjected to a process which creates damage to the dielectric material. A chemical reaction is initiated between the treating agent particles and the damage, repairing the damage. A gradient concentration resulting from the consumption of treating agent particles by the chemical reaction promotes continuous diffusion the treating agent particles towards the damaged region of the dielectric material, continuously repairing the damage.
    Type: Grant
    Filed: June 25, 2012
    Date of Patent: May 12, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tsung-Min Huang, Chung-Ju Lee, Tien-I Bao
  • Publication number: 20150118862
    Abstract: Provided herein are methods and apparatus for improved flowable dielectric deposition on substrate surfaces. The methods involve improving nucleation and wetting on the substrate surface without forming a thick high wet etch rate interface layer. According to various embodiments, the methods may include single or multi-stage remote plasma treatments of a deposition surface. In some embodiments, a treatment may include exposure to both a reducing chemistry and a hydrogen-containing oxidizing chemistry. Apparatus for performing the methods are also provided.
    Type: Application
    Filed: October 21, 2014
    Publication date: April 30, 2015
    Inventors: Patrick Reilly, Harald te Nijenhuis, Nerissa Draeger, Bart J. van Schravendijk, Nicholas Muga Ndiege
  • Publication number: 20150118863
    Abstract: Provided herein are methods and apparatus for forming flowable dielectric films having low porosity. In some embodiments, the methods involve plasma post-treatments of flowable dielectric films. The treatments can involve exposing a flowable film to a plasma while the film is still in a flowable, reactive state but after deposition of new material has ceased.
    Type: Application
    Filed: October 21, 2014
    Publication date: April 30, 2015
    Inventors: Megha Rathod, Deenesh Padhi, Nerissa Draeger, Bart J. van Schravendijk, Kaihan Ashtiani
  • Patent number: 9018108
    Abstract: Methods of forming a dielectric layer on a substrate are described, and may include introducing a first precursor into a remote plasma region fluidly coupled with a substrate processing region of a substrate processing chamber A plasma may be formed in the remote plasma region to produce plasma effluents. The plasma effluents may be directed into the substrate processing region. A silicon-containing precursor may be introduced into the substrate processing region, and the silicon-containing precursor may include at least one silicon-silicon bond. The plasma effluents and silicon-containing precursor may be reacted in the processing region to form a silicon-based dielectric layer that is initially flowable when formed on the substrate.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: April 28, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Sukwon Hong, Toan Tran, Abhijit Mallick, Jingmei Liang, Nitin K. Ingle
  • Patent number: 9018093
    Abstract: A method for forming a layer constituted by repeated stacked layers includes: forming a first layer and a second layer on a substrate under different deposition conditions to form a stacked layer, wherein the film stresses of the first and second layers are tensile or compressive and opposite to each other, and the wet etch rates of the first and second layers are at least 50 times different from each other; and repeating the above step to form a layer constituted by repeated stacked layers, wherein the deposition conditions for forming at least one stacked layer are different from those for forming another stacked layer.
    Type: Grant
    Filed: January 25, 2013
    Date of Patent: April 28, 2015
    Assignee: ASM IP Holding B.V.
    Inventors: Naoto Tsuji, Fumitaka Shoji
  • Patent number: 9018106
    Abstract: A method of forming a material layer on a substrate is provided. The method is based on a combination of an overheating before deposition and a cooling of the reaction chamber during a second deposition stage. The second deposition stage follows a first deposition stage preferably carried out at a predetermined temperature. This combination makes it possible to compensate for the reactant gas depletion across wafer throughout the whole deposition process. The method can be conveniently used when growing a nitride layer to be used as a hard mask during shallow trench isolation (STI) region formation.
    Type: Grant
    Filed: December 5, 2013
    Date of Patent: April 28, 2015
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Fabian Koehler, Itasham Hussain, Bianca Antonioli-Trepte
  • Publication number: 20150108618
    Abstract: A porous layer is described. The porous layer comprises a solidified sol-gel inorganic material having a distribution of nanometric voids, wherein at least some of nanometric voids are at least partially coated internally by carbon or a hydrophobic substance containing carbon.
    Type: Application
    Filed: May 7, 2013
    Publication date: April 23, 2015
    Inventors: Simon Litsyn, Gil Rosenman, Amir Handelman, Yakov Roizin
  • Patent number: 9012333
    Abstract: A method, in one embodiment, can include forming a tunnel oxide layer on a substrate. In addition, the method can include depositing via atomic layer deposition a first layer of silicon nitride over the tunnel oxide layer. Note that the first layer of silicon nitride includes a first silicon richness. The method can also include depositing via atomic layer deposition a second layer of silicon nitride over the first layer of silicon nitride. The second layer of silicon nitride includes a second silicon richness that is different than the first silicon richness.
    Type: Grant
    Filed: September 9, 2009
    Date of Patent: April 21, 2015
    Assignee: Spansion LLC
    Inventors: Yi Ma, Shenqing Fang, Robert Ogle
  • Publication number: 20150104954
    Abstract: Methods of depositing boron and carbon containing films are provided. In some embodiments, methods of depositing B,C films with desirable properties, such as conformality and etch rate, are provided. One or more boron and/or carbon containing precursors can be decomposed on a substrate at a temperature of less than about 400° C. In some embodiments methods of depositing silicon nitride films comprising B and C are provided. A silicon nitride film can be deposited by a deposition process including an ALD cycle that forms SiN and a CVD cycle that contributes B and C to the growing film.
    Type: Application
    Filed: October 15, 2014
    Publication date: April 16, 2015
    Inventor: Viljami Pore
  • Patent number: 9006113
    Abstract: A glass composition for protecting a semiconductor junction contains at least SiO2, Al2O3, MO, and nickel oxide, and substantially contains none of Pb, P, As, Sb, Li, Na and K (M in MO indicates one of alkali earth metals).
    Type: Grant
    Filed: August 29, 2011
    Date of Patent: April 14, 2015
    Assignee: Shindengen Electric Manufacturing Co. Ltd.
    Inventors: Atsushi Ogasawara, Kazuhiko Ito, Koji Ito
  • Patent number: 9006056
    Abstract: A method of performing an ultraviolet (UV) curing process on an interfacial layer over a semiconductor substrate, the method includes supplying a gas flow rate ranging from 10 standard cubic centimeters per minute (sccm) to 5 standard liters per minute (slm), wherein the gas comprises inert gas. The method further includes heating the interfacial layer at a temperature less than or equal to 700° C. Another method of performing an annealing process on an interfacial layer over a semiconductor substrate, the second method includes supplying a gas flow rate ranging from 10 sccm to 5 slm, wherein the gas comprises inert gas. The method further includes heating the interfacial layer at a temperature less than or equal to 600° C.
    Type: Grant
    Filed: May 29, 2013
    Date of Patent: April 14, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Liang-Gi Yao, Chun-Hu Cheng, Chen-Yi Lee, Jeff J. Xu, Clement Hsingjen Wann
  • Patent number: 9006115
    Abstract: A method of forming a silicone oxide film includes: forming a silicon oxide film on a plurality of target objects by supplying a chlorine-containing silicon source into a reaction chamber accommodating the plurality of target objects; and modifying the silicon oxide film, which is formed by forming the silicon oxide film, by supplying hydrogen and oxygen or hydrogen and nitrous oxide into the reaction chamber and making an interior of the reaction chamber be under a hydrogen-oxygen atmosphere or a hydrogen-nitrous oxide atmosphere.
    Type: Grant
    Filed: June 28, 2013
    Date of Patent: April 14, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Tomoyuki Obu, Masaki Kurokawa
  • Patent number: 8999802
    Abstract: A method for manufacturing a semiconductor device is disclosed. In one embodiment, the method comprises: forming a gate stack on a substrate, wherein the gate stack comprises a gate dielectric layer and a gate conductor layer; selectively etching end portions of the gate dielectric layer to form gaps; and filling a material for the gate dielectric layer into the gaps.
    Type: Grant
    Filed: July 30, 2012
    Date of Patent: April 7, 2015
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Yunfei Liu, Haizhou Yin
  • Patent number: 8999823
    Abstract: A semiconductor device according to the present invention includes a thin-film transistor and a thin-film diode. The respective semiconductor layers and of the thin-film transistor and the thin-film diode are crystalline semiconductor layers that have been formed by crystallizing the same crystalline semiconductor film. Ridges have been formed on the surface of the semiconductor layer of the thin-film diode. And the semiconductor layer of the thin-film diode has a greater surface roughness than the semiconductor layer of the thin-film transistor.
    Type: Grant
    Filed: October 20, 2009
    Date of Patent: April 7, 2015
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Naoki Makita, Hiroshi Nakatsuji
  • Publication number: 20150093914
    Abstract: Methods for fabricating integrated circuits are provided in various exemplary embodiments. In one embodiment, a method for fabricating an integrated circuit includes providing a germanium-based semiconductor substrate comprising a GeOx layer formed thereon and exposing the semiconductor substrate to first and second atomic layer deposition (ALD) processes. The first ALD process includes exposing the semiconductor substrate to a first gaseous precursor comprising aluminum and exposing the semiconductor substrate to a second gaseous precursor comprising a first oxygen-containing precursor. The second ALD process includes exposing the semiconductor substrate to a first gaseous precursor comprising aluminum and exposing the semiconductor substrate to a second gaseous precursor comprising a second oxygen-containing precursor.
    Type: Application
    Filed: October 2, 2013
    Publication date: April 2, 2015
    Applicants: Intermolecular, GLOBALFOUNDRIES, Inc.
    Inventors: Bin Yang, Abhijit Pethe, Albert Lee, Amol Joshi, Ashish Bodke, Kevin Kashefi, Salil Mujumdar
  • Publication number: 20150093915
    Abstract: Provided are methods of forming ashable hard masks (AHMs) with high etch selectivity and low hydrogen content using plasma enhanced chemical vapor deposition. Methods involve exposing a first layer to be etched on a semiconductor substrate to a carbon source and sulfur source, and generating a plasma to deposit a sulfur-doped AHM or amorphous carbon-based film on the first layer.
    Type: Application
    Filed: May 5, 2014
    Publication date: April 2, 2015
    Applicant: Lam Research Corporation
    Inventors: Sirish K. Reddy, Alice G. Hollister, Thorsten Lill
  • Publication number: 20150093916
    Abstract: Generation of byproducts is inhibited in a buffer space even in a single-wafer-type apparatus using the buffer space. A method of manufacturing a semiconductor device includes (a) loading a substrate into a process chamber; (b) supplying a first-element-containing gas via a buffer chamber of a shower head to the substrate placed in the process chamber; (c) supplying a second-element-containing gas to the substrate via the buffer chamber; and (d) performing an exhaust process between (b) and (c), wherein (d) includes: exhausting an atmosphere of the buffer chamber; and exhausting an atmosphere of the process chamber after exhausting the atmosphere of the buffer chamber.
    Type: Application
    Filed: September 26, 2014
    Publication date: April 2, 2015
    Inventors: Tetsuo YAMAMOTO, Kazuhiro MORIMITSU, Kazuyuki TOYODA, Kenji ONO, Tadashi TAKASAKI, Ikuo HIROSE, Takafumi SASAKI
  • Publication number: 20150087139
    Abstract: Described herein are precursors and methods for forming silicon-containing films. In one aspect, the precursor comprises a compound represented by one of following Formulae A through E below: In one particular embodiment, the organoaminosilane precursors are effective for a low temperature (e.g., 350° C. or less), atomic layer deposition (ALD) or plasma enhanced atomic layer deposition (PEALD) of a silicon-containing film. In addition, described herein is a composition comprising an organoaminosilane described herein wherein the organoaminosilane is substantially free of at least one selected from the amines, halides (e.g., Cl, F, I, Br), higher molecular weight species, and trace metals.
    Type: Application
    Filed: September 11, 2014
    Publication date: March 26, 2015
    Applicant: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Mark Leonard O'Neill, Manchao Xiao, Xinjian Lei, Richard Ho, Haripin Chandra, Matthew R. MacDonald, Meiliang Wang
  • Publication number: 20150087160
    Abstract: A substrate processing apparatus includes: a processing gas supply pipe configured to supply a processing gas into a processing chamber; a substrate mounting table that is installed in the processing chamber and on which a substrate to be processed is mounted; a driving unit configured to drive the substrate mounting table to move the substrate mounted on the substrate mounting table; a first plasma generating unit configured to generate plasma of the processing gas supplied into the processing chamber with a first density; and a second plasma generating unit that is installed adjacent to the first plasma generating unit in a traveling direction of the substrate and configured to generate plasma of the processing gas supplied into the processing chamber with a second density lower than the first density.
    Type: Application
    Filed: September 17, 2014
    Publication date: March 26, 2015
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Kazuyuki TOYODA, Tetsuaki INADA
  • Patent number: 8987024
    Abstract: System for wafer-level phosphor deposition. In an aspect, a semiconductor wafer is provided that includes a plurality of LED dies wherein at least one die includes an electrical contact, a photo-resist post covering the electrical contact, and a phosphor deposition layer covering the semiconductor wafer and surrounding the photo-resist post. In another aspect, a semiconductor wafer is provided that comprises a plurality of LED dies wherein at least one die comprises an electrical contact, a phosphor deposition layer covering the semiconductor wafer, and a cavity in the phosphor deposition layer exposing the at least one electrical contact.
    Type: Grant
    Filed: June 6, 2013
    Date of Patent: March 24, 2015
    Assignee: Bridgelux, Inc
    Inventor: Tao Xu
  • Patent number: 8987147
    Abstract: A method of depositing a film on substrates using an apparatus including a turntable mounting substrates, first and second process areas above the upper surface of the turntable provided with gas supplying portions, a separation gas supplying portion between the first and second process areas, and a separation area including depositing a first oxide film by rotating the turntable first turns while supplying a first reaction gas, the oxidation gas from the second gas supplying portion, and the separation gas; rotating at least one turn while supplying the separation gas from the first gas supplying portion and the separation gas supplying portion, and the oxidation gas from the second gas supplying portion; and rotating at least second turns to deposit a second oxide film while supplying a second reaction gas from the first gas supplying portion, the oxidation gas from the second gas supplying portion, and the separation gas.
    Type: Grant
    Filed: December 17, 2013
    Date of Patent: March 24, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Hiroaki Ikegawa, Masahiko Kaminishi, Kosuke Takahashi, Masato Koakutsu, Jun Ogawa
  • Publication number: 20150072535
    Abstract: In a semiconductor device including a transistor including an oxide semiconductor film and a protective film over the transistor, an oxide insulating film containing oxygen in excess of the stoichiometric composition is formed as the protective film under the following conditions: a substrate placed in a treatment chamber evacuated to a vacuum level is held at a temperature higher than or equal to 180° C. and lower than or equal to 260° C.; a source gas is introduced into the treatment chamber so that the pressure in the treatment chamber is set to be higher than or equal to 100 Pa and lower than or equal to 250 Pa; and a high-frequency power higher than or equal to 0.17 W/cm2 and lower than or equal to 0.5 W/cm2 is supplied to an electrode provided in the treatment chamber.
    Type: Application
    Filed: November 17, 2014
    Publication date: March 12, 2015
    Inventors: Kenichi OKAZAKI, Toshinari SASAKI, Shuhei YOKOYAMA, Takashi HAMOCHI
  • Patent number: 8975196
    Abstract: According to one embodiment, a method of manufacturing a semiconductor device includes providing a substrate, supplying a first liquid including a terpene to a surface of the substrate, supplying a second liquid including a silicon-containing compound to the surface of the substrate, and converting the silicon-containing compound to a silicon oxide compound.
    Type: Grant
    Filed: September 2, 2013
    Date of Patent: March 10, 2015
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Keisuke Nakazawa
  • Patent number: 8962493
    Abstract: A manufacturing method to form a memory device includes: (1) forming a dielectric layer adjacent to a magnetic stack; (2) forming an opening in the dielectric layer; (3) applying a hard mask material adjacent to the dielectric layer to form a pillar disposed in the opening of the dielectric layer; and (4) using the pillar as a hard mask, patterning the magnetic stack to form a MRAM cell.
    Type: Grant
    Filed: December 13, 2010
    Date of Patent: February 24, 2015
    Assignee: Crocus Technology Inc.
    Inventors: Amitay Levi, Dafna Beery
  • Patent number: 8962489
    Abstract: Disclosed is a method for etching a film contains cobalt and palladium is provided. A hard mask is provided on the film. The method film includes a process “a” of etching the film by ion sputter etching, a process “b” of exposing a workpiece to plasma of a first gas containing halogen elements after the process “a” of etching of the film, a process “c” of exposing the workpiece to plasma of a second gas containing carbons after the process “b” of exposing the workpiece to the plasma of the first gas, and a process “d” of exposing the workpiece to plasma of a third gas containing a noble gas after the process “c” of exposing the workpiece to the plasma of the second gas. In the method, a temperature of a placement table on which the workpiece is placed is set to a first temperature of 10° C. or less in the process “a”, process “b” and process “c”.
    Type: Grant
    Filed: March 18, 2014
    Date of Patent: February 24, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Eiichi Nishimura, Masato Kushibiki
  • Publication number: 20150050815
    Abstract: Provided is a semiconductor device manufacturing method which has: a step wherein a processing substrate to be processed is placed on a substrate mounting member that is provided in a processing chamber having a plurality of gas supply regions; a film-forming step wherein a processing gas is supplied to the processing chamber, and the substrate is processed; a step wherein the substrate is carried out from the processing chamber; and a cleaning step wherein the density of the cleaning gas is controlled, while controlling cleaning gas quantities in the gas supply regions, respectively, in a state wherein the substrate is not placed in the processing chamber.
    Type: Application
    Filed: March 13, 2013
    Publication date: February 19, 2015
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Yoshihiko Yanagisawa, Tetsuaki Inada
  • Publication number: 20150050817
    Abstract: A superjunction semiconductor device is provided having at least one column of a first conductivity type and at least one column of a second conductivity type extending from a first main surface of a semiconductor substrate toward a second main surface of the semiconductor substrate opposed to the first main surface. The at least one column of the second conductivity type has a first sidewall surface proximate the at least one column of the first conductivity type and a second sidewall surface opposed to the first sidewall surface. A termination structure is proximate the second sidewall surface of the at least one column of the second conductivity type. The termination structure includes a layer of dielectric of an effective thickness and consumes about 0% of the surface area of the first main surface. Methods for manufacturing superjunction semiconductor devices and for preventing surface breakdown are also provided.
    Type: Application
    Filed: October 30, 2014
    Publication date: February 19, 2015
    Inventor: Xu CHENG
  • Publication number: 20150044880
    Abstract: A method of manufacturing a semiconductor device is provided, which enables the film quality to be improved when the film is formed on a substrate at a low temperature, thus forming fine patterns. The method of manufacturing a semiconductor device includes: forming the film on a substrate by alternately supplying at least a source gas and a reactive gas to the substrate while maintaining the substrate at a first temperature by heating; and modifying the film by supplying a modification gas excited by plasma to the substrate with the film formed thereon while naturally cooling the substrate with the film formed thereon to a second temperature without heating the substrate, the second temperature being lower than the first temperature.
    Type: Application
    Filed: March 25, 2013
    Publication date: February 12, 2015
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Takaaki Noda, Takeo Hanashima
  • Patent number: 8951921
    Abstract: A method of forming a thin film poly silicon layer includes following steps. Firstly, a substrate is provided. A heating treatment is then performed. A thin film poly silicon layer is then directly formed on a first surface of the substrate by a silicon thin film deposition process. A method of forming a thin film transistor includes following steps. Firstly, a substrate is provided. A heating treatment is then performed. A thin film poly silicon layer is then directly formed on a first surface of the substrate by a silicon thin film deposition process. A first patterning process is performed on the thin film poly silicon layer to form a semiconductor pattern. Subsequently, a gate insulation layer, a gate electrode, a source electrode and a drain electrode are formed.
    Type: Grant
    Filed: June 7, 2013
    Date of Patent: February 10, 2015
    Assignee: Wintek Corporation
    Inventors: Hieng-Hsiung Huang, Wen-Chun Wang, Heng-Yi Chang, Chin-Chang Liu
  • Publication number: 20150034908
    Abstract: A semiconducting graphene structure may include a graphene material and a graphene-lattice matching material over at least a portion of the graphene material, wherein the graphene-lattice matching material has a lattice constant within about ±5% of a multiple of the lattice constant or bond length of the graphene material. The semiconducting graphene structure may have an energy band gap of at least about 0.5 eV. A method of modifying an energy band gap of a graphene material may include forming a graphene-lattice matching material over at least a portion of a graphene material, the graphene-lattice matching material having a lattice constant within about ±5% of a multiple of the lattice constant or bond length of the graphene material.
    Type: Application
    Filed: October 22, 2014
    Publication date: February 5, 2015
    Inventors: Roy E. Meade, Sumeet C. Pandey
  • Publication number: 20150037540
    Abstract: An aspect of one embodiment, there is provided a template employed in imprinting including a substrate having a main surface, a pattern including a concave portion and a convex portion on the main surface, and a liquid-repellent layer selectively provided on the convex portion, the liquid-repellent layer having liquid-repellency to resist having fluidity in the imprinting.
    Type: Application
    Filed: February 18, 2014
    Publication date: February 5, 2015
    Inventors: Kei KOBAYASHI, Seiji MORITA
  • Patent number: 8946095
    Abstract: A method of forming an interlayer dielectric film above a metal gate of a metal oxide semiconductor device comprises forming a metal gate above a semiconductor substrate; and forming the interlayer dielectric film above the metal gate by reacting a silicon-containing compound as precursor and a reactant for oxidizing the silicon-containing compound. The silicon-containing compound has the formula: Six(A)y(B)z(C)m(D)n??(I) wherein x is in the range of from 1 to 9; y+z+m+n is in the range of from 4 to 20; and A, B, C, and D independently represent a functional group connecting with a silicon atom. The functional group is selected from a group consisting of alkyl, alkenyl, alkynyl, aryl, alkylaryl, alkoxyl, alkylcarbonyl, carboxyl, alkylcarbonyloxy, amide, amino, alkylcarbonylamino, —NO2, and —CN.
    Type: Grant
    Filed: October 25, 2012
    Date of Patent: February 3, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Li Chen, Jyh-Nan Lin, Chin-Feng Sun, Po-Hsiung Leu, Ding-I Liu
  • Patent number: 8946036
    Abstract: A method for forming a dielectric film is disclosed. The method includes (a) exposing a substrate to a first gas pulse having a first oxygen-containing gas in a chamber; (b) exposing the substrate to multiple consecutive second gas pulses having a second oxygen-containing gas in the chamber, wherein the first oxygen-containing gas is different from the second oxygen-containing gas; and (c) sequentially after (a) and (b), exposing the substrate to a third gas pulse having a metal-containing gas in the chamber. Steps (a), (b), and (c) may be repeated any number of times to form the dielectric film with a predetermined thickness.
    Type: Grant
    Filed: December 7, 2012
    Date of Patent: February 3, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Liang-Chen Chi, Chia-Ming Tsai, Yu-Min Chang, Chin-Kun Wang, Miin-Jang Cheng, Keng-Ham Lin