Masking Of A Substrate Using Material Resistant To An Etchant (i.e., Etch Resist) Patents (Class 216/41)
  • Patent number: 9690018
    Abstract: A method for making a grating includes the following steps. A first photoresist film is formed on a substrate. A second photoresist film is applied on the first photoresist film. A number of first cavities are formed in the second photoresist film, wherein part of the first photoresist film is exposed to form a first exposed part. A number of second cavities are formed, wherein part of the surface of the substrate is exposed to form an exposed surface. A mask layer is deposited on the second photoresist film and the exposed surface of the substrate. A patterned mask layer is formed, and part of the substrate is exposed to form a second exposed part. The second exposed part of the substrate is etched through the patterned mask layer. The patterned mask layer is removed.
    Type: Grant
    Filed: October 30, 2015
    Date of Patent: June 27, 2017
    Assignees: Tsinghua University, HON HAI PRECISION INDUSTRY CO., LTD.
    Inventors: Zhen-Dong Zhu, Qun-Qing Li, Li-Hui Zhang, Mo Chen
  • Patent number: 9676934
    Abstract: The present invention relates to a composition including: a component (A) being a block copolymer including a block PA bonded to one, or two or more blocks incompatible with the block PA and whose etching selectivity to the block PA is greater than one; and a component (B) being at least one polymer selected from the group consisting of a random copolymer and a homopolymer, wherein the polymer of the component (B) is compatible with at least one block other than the block PA within the blocks constituting the block copolymer of the component (A), and is incompatible with the block PA.
    Type: Grant
    Filed: March 7, 2013
    Date of Patent: June 13, 2017
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Takahiro Senzaki, Ken Miyagi, Kenichiro Miyashita
  • Patent number: 9663671
    Abstract: Provide is a curable composition for imprints, capable of effectively suppressing chipping of the cured pattern. A curable composition for imprints comprising (A) curable compound and (B) photo-polymerization initiator, having a moisture content ratio, relative to the total weight of all components excluding solvent, of less than 0.8% by weight.
    Type: Grant
    Filed: April 17, 2014
    Date of Patent: May 30, 2017
    Assignee: FUJIFILM Corporation
    Inventors: Yuichiro Enomoto, Kunihiko Kodama, Shinji Tarutani
  • Patent number: 9659790
    Abstract: A method of forming a pattern, the method including forming a mask layer on a feature layer on a substrate; forming guides regularly arranged with a first pitch on the mask layer in a first region and dummy guides regularly arranged with the first pitch on the mask layer in a second region spaced apart from the first region with a separation region therebetween, the separation region having a width greater than the first pitch; forming a block copolymer layer on the mask layer; phase-separating the block copolymer layer to form a self-assembled layer; forming a mask pattern by etching the mask layer using the self-assembled layer; and patterning the feature layer by transferring a shape of the mask pattern to the feature layer in the first region while blocking the shape of the mask pattern from being transferred to the feature layer in the second region.
    Type: Grant
    Filed: May 11, 2016
    Date of Patent: May 23, 2017
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: Seok-han Park
  • Patent number: 9658597
    Abstract: A timepiece mechanism including a pair of components with a first component including a material taken from a first group including solid monocrystalline, natural diamond, micro- or nano-crystalline CVD diamond, solid monocrystalline diamond, and amorphous carbon “DLC”, and having a first friction surface arranged to cooperate with a second friction surface included in a second opposing component and the second component includes, at least in its second friction surface, a material with a high concentration of boron, greater than 10 atomic percent, and, in a particular embodiment, this second opposing component includes at least one ceramic containing boron. Method for manufacturing such a mechanism. Method for transforming such a mechanism.
    Type: Grant
    Filed: May 8, 2015
    Date of Patent: May 23, 2017
    Assignee: Nivarox-FAR S.A.
    Inventors: Philippe Dubois, Christian Charbon, Cedric Faure, Rudy Charlery
  • Patent number: 9655745
    Abstract: A method of producing an interbody spinal implant. The method includes the steps of obtaining a blank having a top surface, bottom surface, opposing lateral sides, and opposing anterior and posterior portions, and applying a subtractive process (e.g., masked acid etching) to the top surface, the bottom surface, or both surfaces of the blank to form a roughened surface topography. Subsequently, the blank is machined to form the interbody spinal implant, which includes a body having a top surface, a bottom surface, opposing lateral sides, opposing anterior and posterior portions, a substantially hollow center, and a single vertical aperture where the top surface, the bottom surface, or both surfaces of the interbody spinal implant have the roughened surface topography produced by the subtractive process. This simplified method produces more accurate and repeatable implants with fewer process steps and defects, reducing process time and costs.
    Type: Grant
    Filed: November 6, 2015
    Date of Patent: May 23, 2017
    Assignee: Titan Spine, LLC
    Inventors: Chad J. Patterson, Mark E. Berg, Peter F. Ullrich, Jr.
  • Patent number: 9632408
    Abstract: Graphoepitaxy directed self-assembly methods generally include grafting a conformal layer of a polymer brush onto a topographic substrate. A planarization material, which functions as a sacrificial material is coated onto the topographic substrate. The planarization material is etched back to a top surface of the topographic substrate, wherein the etch back removes the polymer brush from the top surfaces of the topographic substrate. The remaining portion of the polymer brush is protected by the remaining planarization material below the top surface of the topographic substrate, which can be removed with a solvent to provide the topographic substrate with a conformal polymer brush below the top surface of the topographic substrate. The substrate is then coated with a block copolymer and annealed to direct self-assembly of the block copolymer. The methods mitigate island and/or hole defect formation.
    Type: Grant
    Filed: October 12, 2016
    Date of Patent: April 25, 2017
    Assignees: INTERNATIONAL BUSINESS MACHINES CORPORATION, TOKYO ELECTRON LIMITED
    Inventors: Hongyun Cottle, Cheng Chi, Chi-Chun Liu, Kristin Schmidt
  • Patent number: 9625752
    Abstract: Responsive, biocompatible substrates are of interest for directing the maturation and function of cells in vitro during cell culture. This can potentially provide cells and tissues with desirable properties for regenerative therapies. The present disclosure provides a scalable approach to attach, align and dynamically load cells on responsive liquid crystal elastomer (LCE) substrates. Monodomain LCEs exhibit reversible shape changes in response to cyclic stimulus, and when immersed in an aqueous medium on top of, for example, resistive heaters, shape changes are fast, reversible and produce minimal temperature changes in the surroundings.
    Type: Grant
    Filed: July 14, 2014
    Date of Patent: April 18, 2017
    Assignee: WILLIAM MARSH RICE UNIVERSITY
    Inventors: Rafael Verduzco, Jeffrey G. Jacot, Oluwatomiyin Adetiba, Aditya Agrawal
  • Patent number: 9620353
    Abstract: A method of manufacturing a semiconductor device including attaching, by a liquid treatment, a first liquid to a surface of a semiconductor substrate having a fine pattern formed therein; substituting the first liquid attached to the surface of the semiconductor substrate with a solution, the solution comprising a sublimate dissolved in a second liquid; vaporizing the second liquid and precipitating the sublimate to the surface of the semiconductor substrate to form a solid precipitate comprising the sublimate; and removing the precipitate by sublimation. For example, the sublimate may be a material having at least two carboxyl groups bonded to cyclohexane or a material formed of two carboxyl groups bonded to benzene with the bonding sites of the two carboxyl groups being adjacent to one another.
    Type: Grant
    Filed: November 26, 2014
    Date of Patent: April 11, 2017
    Assignee: KABUSHIKI KAISHA TOSHIBA
    Inventors: Junichi Igarashi, Katsuhiro Sato, Masaaki Hirakawa
  • Patent number: 9601344
    Abstract: The present disclosure provides a method including providing a semiconductor substrate and forming a first layer and a second layer on the semiconductor substrate. The first layer is patterned to provide a first element, a second element, and a space interposing the first and second elements. Spacer elements are then formed on the sidewalls on the first and second elements of the first layer. Subsequently, the second layer is etched using the spacer elements and the first and second elements as a masking element.
    Type: Grant
    Filed: February 20, 2015
    Date of Patent: March 21, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chia-Ying Lee, Chih-Yuan Ting, Jyu-Horng Shieh, Ming-Hsing Tsai, Syun-Ming Jang
  • Patent number: 9601640
    Abstract: A process is provided for contacting a nanostructured surface. In that process, a substrate is provided having a nanostructured material on a surface, the substrate being conductive and the nanostructured material being coated with an insulating material. A portion of the nanostructured material is at least partially removed. A conductor is deposited on the substrate in such a way that it is in electrical contact with the substrate through the area where the nanostructured material has been at least partially removed.
    Type: Grant
    Filed: August 25, 2014
    Date of Patent: March 21, 2017
    Assignee: Advanced Silicon Group, Inc.
    Inventors: Marcie R. Black, Joanne Forziati, Michael Jura, Jeff Miller, Brian Murphy, Adam Standley
  • Patent number: 9589343
    Abstract: The purpose of the present invention is to provide a pattern measurement device which evaluates quantitatively and with high precision random patterns such as finger print patterns. In order to fulfill this purpose, a pattern measurement device which measures the pattern on a sample on the basis of an image acquired by a charged particle beam is proposed which selectively extracts linear or linearly approximable parts of the pattern on the sample, and outputs at least one of the following: the measurement of the distance between the extracted parts, the ratio of said extracted parts in a prescribed region, and the length of said extracted parts. Further, as a more specific embodiment, a pattern measurement device is proposed which calculates a frequency depending on a distance value between extracted parts, and outputs, as a pattern distance, distance values for which said frequency fulfills a prescribed condition.
    Type: Grant
    Filed: August 2, 2013
    Date of Patent: March 7, 2017
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Miki Isawa, Kei Sakai, Norio Hasegawa
  • Patent number: 9576814
    Abstract: A method of forming a target pattern includes forming a plurality of lines over a substrate with a first mask and forming a first spacer layer over the substrate, over the plurality of lines, and onto sidewalls of the plurality of lines. The plurality of lines is removed, thereby providing a patterned first spacer layer over the substrate. The method further includes forming a second spacer layer over the substrate, over the patterned first spacer layer, and onto sidewalls of the patterned first spacer layer, and forming a patterned material layer over the second spacer layer with a second mask. Whereby, the patterned material layer and the second spacer layer collectively define a plurality of trenches.
    Type: Grant
    Filed: September 14, 2015
    Date of Patent: February 21, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chieh-Han Wu, Cheng-Hsiung Tsai, Chung-Ju Lee, Ming-Feng Shieh, Ru-Gun Liu, Shau-Lin Shue, Tien-I Bao
  • Patent number: 9458531
    Abstract: A guiding pattern for directed self-assembly (DSA) of a block copolymer (BCP) is an array of spaced guiding stripes on a substrate that have a width equal to nL0 and a pitch equal to (n+k)L0, where n and k are integers equal to or greater than 1 and L0 is the natural pitch of the BCP. The guiding stripes have oxidized sidewalls. A silicon-containing BCP self-assembles with the BCP component without silicon wetting the oxidized sidewalls. Then oxygen reactive ion etching (RIE) removes the BCP component without silicon and oxidizes the silicon-containing BCP component. The remaining pattern of silicon oxide containing BCP component can then be used as an etch mask to etch the underlying substrate.
    Type: Grant
    Filed: November 4, 2014
    Date of Patent: October 4, 2016
    Assignee: HGST Netherlands B.V.
    Inventors: Julia Cushen, Ricardo Ruiz, Lei Wan
  • Patent number: 9434927
    Abstract: A method for manufacturing a cell culture substrate obtained by forming a coating layer of a polymer on the surface of a substrate, wherein the polymer is formed by polymerizing a monomer component containing a nitrogen atom-containing monomer represented by formula (I): wherein R1 is hydrogen atom or methyl group, R2 is an alkylene group having 1 to 6 carbon atoms, each of R3 and R4 is independently an alkyl group having 1 to 4 carbon atoms, R5 is an alkylene group having 1 to 4 carbon atoms, and Y is oxygen atom or —NH— group, includes irradiating the polymer with ion beam at a predetermined position of the coating layer of the polymer, to remove the coating layer of the polymer irradiated with the ion beam.
    Type: Grant
    Filed: August 30, 2011
    Date of Patent: September 6, 2016
    Assignee: OSAKA ORGANIC CHEMICAL INDUSTRY LTD.
    Inventors: Hiromi Kitano, Yoshiyuki Saruwatari, Kazuyoshi Matsuoka
  • Patent number: 9429837
    Abstract: An aqueous curable imprintable medium having at least one curable compound according to Formula 1: wherein R1-R3 are hydrolysable alkoxy groups, and wherein R4 is selected from the group consisting of C1-C6 linear alkyl groups, hydrolysable alkoxy groups and a phenyl group; and a photo-acid generator or a photo-base generator. Such a medium may have an extended shelf-life and may facilitate the formation of highly reproducible patterned layers when used in an imprint lithography process.
    Type: Grant
    Filed: May 14, 2009
    Date of Patent: August 30, 2016
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Ties Van Bommel, Sander Frederik Wuister, Emile Johannes Karel Verstegen, Rifat Ata Mustafa Hikmet
  • Patent number: 9412618
    Abstract: A pattern forming method of forming a pattern on an underlying layer of a target object includes forming a block copolymer layer, which includes a first polymer and a second polymer and is configured to be self-assembled, on the underlying layer; processing the target object to form a first region containing the first polymer and a second region containing the second polymer in the block copolymer layer; etching the second region partway in a thickness direction thereof in a capacitively coupled plasma processing apparatus after the processing of the target object; generating secondary electrons from an upper electrode of the plasma processing apparatus by applying a negative DC voltage to the upper electrode and irradiating the secondary electrons onto the target object, after the etching of the second region; and additionally etching the second region in the plasma processing apparatus after the irradiating of the secondary electrons.
    Type: Grant
    Filed: April 9, 2014
    Date of Patent: August 9, 2016
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Shinya Morikita, Eiichi Nishimura, Fumiko Yamashita
  • Patent number: 9373658
    Abstract: A method for manufacturing a solid-state image pickup device is provided. The image pickup apparatus includes a photoelectric conversion portion disposed on the semiconductor substrate, a first insulating film over the photoelectric conversion portion, functioning as an antireflection film, a second insulating film on the first insulating film, disposed corresponding to the photoelectric conversion portion, and a waveguide having a clad and a core whose bottom is disposed on the second insulating film. The method includes forming an opening by anisotropically etching part of a member disposed over the photoelectric conversion portion, thereby forming the clad, and forming the core in the opening. In the method, the etching is performed under conditions where the etching rate of the second insulating film is lower than the etching rate of the member.
    Type: Grant
    Filed: February 18, 2015
    Date of Patent: June 21, 2016
    Assignee: CANON KABUSHIKI KAISHA
    Inventors: Takehito Okabe, Kentarou Suzuki, Takashi Usui, Taro Kato, Mineo Shimotsusa, Shunsuke Takimoto
  • Patent number: 9365923
    Abstract: The vapor deposition device includes a plurality of vapor deposition masks whose lengths in Y axis and X axis directions are shorter than those of a film formation target substrate. Vapor deposition masks adjacent to each other in the Y axis direction is positionally displaced in the X axis direction. In an overlapping area in which mask opening group areas adjacent to each other in the Y axis direction overlap with each other in the X axis direction, opening lengths in the Y axis direction become shorter toward the outer side of each of the mask opening group areas in the plan view.
    Type: Grant
    Filed: January 28, 2014
    Date of Patent: June 14, 2016
    Assignee: SHARP KABUSHIKI KAISHA
    Inventors: Takashi Ochi, Shinichi Kawato, Yuhki Kobayashi, Manabu Niboshi, Satoshi Inoue, Yuto Tsukamoto, Katsuhiro Kikuchi, Masahiro Ichihara, Eiichi Matsumoto
  • Patent number: 9355856
    Abstract: Methods of producing V-shaped trenches in crystalline substrates are described. The methods involve processing a patterned substrate with etch masking materials defining each side of exposed silicon (100). The exposed silicon (100) is exposed to remotely-excited halogen-containing precursor including chlorine or bromine. The plasma effluents formed from the halogen-containing precursor preferentially remove silicon from all exposed facets other than silicon (111). Etching the crystalline substrates with the plasma effluents produce at least two silicon (111) facets between two adjacent masking elements. Forming the silicon (111) facets may be accelerated by pretreating the crystalline substrates using a halogen-containing precursor locally excited in a biased plasma to initiate the generation of the trench.
    Type: Grant
    Filed: September 12, 2014
    Date of Patent: May 31, 2016
    Assignee: Applied Materials, Inc.
    Inventors: Xikun Wang, Anchuan Wang, Nitin K. Ingle
  • Patent number: 9343483
    Abstract: A method for manufacturing a thin film transistor (TFT) array substrate having enhanced reliability is disclosed. The method includes forming a multilayer structure including at least one first metal layer and a second metal layer made of copper, forming a first mask layer including a first mask area corresponding to a data line and a second mask area corresponding to an electrode pattern to overlap with an active layer, patterning the multilayer structure, thereby forming the data line constituted by the multilayer structure, patterning the second metal layer, thereby forming the electrode pattern constituted by the at least one first metal layer, forming a second mask layer to expose a portion of the electrode pattern corresponding to a channel area of the active layer, patterning the at least one first metal layer, thereby forming source and drain.
    Type: Grant
    Filed: December 24, 2014
    Date of Patent: May 17, 2016
    Assignee: LG Display Co., Ltd.
    Inventors: Min-Cheol Kim, Youn-Gyoung Chang, Kwon-Shik Park, So-Hyung Lee, Ho-Young Jung, Ha-Jin Yoo, Jeong-Suk Yang
  • Patent number: 9302466
    Abstract: Provided are an ink composition usable in a solar battery manufacturing process, a method of forming a pattern using the ink composition, an insulation film formed of the ink composition, and an etching mask formed of the ink composition. The ink composition includes: a) a polymerizable compound having an ethylenically unsaturated bond; b) a fluorinated surfactant; and c) a solvent, wherein the ink composition has a solid content of 45 parts by weight to 99.99 parts by weight.
    Type: Grant
    Filed: May 3, 2013
    Date of Patent: April 5, 2016
    Assignee: LG CHEM, LTD.
    Inventors: Min-A Yu, Yong-Sung Goo, Joon-Hyung Kim
  • Patent number: 9299599
    Abstract: A substrate holder positioning method, capable of positioning a substrate holder without using any positioning jig, includes: measuring a first position of a substrate held on a substrate holder included in a substrate carrying mechanism; carrying the substrate held on the substrate holder to a substrate rotating unit for holding and rotating the substrate; turning the substrate held by the substrate rotating unit through a predetermined angle by the substrate rotating unit; transferring the substrate turned by the substrate rotating unit from the substrate rotating unit to the substrate holder; measuring a second position of the substrate transferred from the substrate rotating unit to the substrate holder; determining the position of the center of rotation of the substrate rotating unit on the basis of the first and the second position; and positioning the substrate holder on the basis of the position of the center of rotation.
    Type: Grant
    Filed: April 9, 2014
    Date of Patent: March 29, 2016
    Assignee: Tokyo Electron Limited
    Inventors: Yuichi Douki, Tokutarou Hayashi, Naruaki Iida, Suguru Enokida
  • Patent number: 9281212
    Abstract: A process for patterning a hard mask material with line-space patterns below a 30 nm pitch and a 15 nm critical dimension by employing a spin-on titanium-silicon (TiSi) polymer or oligomer as a tone inversion material is provided. The spin-on TiSi material is spin-coated over a patterned OPL that includes a first pattern generated from a DSA based process. The spin-on TiSi material fill trenches within the patterned OPL to form a tone inverted pattern by removing the patterned OPL selective to the spin-on TiSi material. The inverted pattern is a complementary pattern to the first pattern, and is transferred into the underlying hard mask material by an anisotropic etch.
    Type: Grant
    Filed: October 17, 2014
    Date of Patent: March 8, 2016
    Assignee: International Business Machines Corporation
    Inventors: Martin Glodde, Wu-Song Huang, Hiroyuki Miyazoe, Ratnam Sooriyakumaran, Hsinyu Tsai
  • Patent number: 9280634
    Abstract: In an electronic design automation technique for optical proximity correction, an optimized mask function that has values other than those allowed for a particular mask type, such as 0 and 1 for a chrome-on-glass binary mask, evolves it to a solution restricted to these values or narrow intervals near them. The technique “regularizes” the solution by mixing in a new cost functional that encourages the mask to assume the desired values. The mixing in may be done over one or more steps or even “quasistatically,” in which the total cost functional and the mask is brought from pure goodness-of-fit to the printed layout for given conditions to pure manufacturability by keeping the total cost functional minimized step-by-step. A goal of this gradual mixing-in is to do thermodynamically optimal work on the mask function to bring it to manufacturable values.
    Type: Grant
    Filed: March 31, 2014
    Date of Patent: March 8, 2016
    Assignee: D2S, Inc.
    Inventor: P. Jeffrey Ungar
  • Patent number: 9257256
    Abstract: Methods for fabricating sublithographic, nanoscale microstructures arrays including openings and linear microchannels utilizing self-assembling block copolymers, and films and devices formed from these methods are provided. In some embodiments, the films can be used as a template or mask to etch openings in an underlying material layer.
    Type: Grant
    Filed: November 8, 2013
    Date of Patent: February 9, 2016
    Assignee: Micron Technology, Inc.
    Inventors: Dan B. Millward, Donald L. Westmoreland, Gurtej S. Sandhu
  • Patent number: 9244343
    Abstract: According to one embodiment, a pattern forming method includes: forming a guide layer, including a base layer and a neutralization film with a plurality of parallel line sections, on a processing target film, forming a polymer material containing first polymer segments and second polymer segments, on the guide layer, forming a self-assembly pattern having a plurality of first polymer portions containing the first polymer segment and extending in a direction of the line sections, and a plurality of second polymer portions containing the second polymer segment alternating with the first polymer portions and extending along the direction of the line sections, and selectively removing the second polymer portions. The widths of line sections of both ends of the plurality of line sections of the neutralization film are about two times the width of each first polymer portion or each second polymer portion.
    Type: Grant
    Filed: February 26, 2014
    Date of Patent: January 26, 2016
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Ryoji Yoshikawa, Hideaki Sakurai, Shunsuke Ochiai
  • Patent number: 9241693
    Abstract: A surgical tool system according to an embodiment of the current invention includes a surgical tool, and an interferometry system optically coupled to the surgical tool. The surgical tool includes a body section, a sensor section at least one of attached to or integral with the body section, and a surgical section at least one of attached to or integral with the sensor section at an opposing end of the sensor section from the body section. The sensor section comprises an interferometric optical sensor defining a reference distance that changes in response to at least one of a force or a torque when applied to the surgical section of the surgical tool.
    Type: Grant
    Filed: July 20, 2011
    Date of Patent: January 26, 2016
    Assignee: The Johns Hopkins University
    Inventors: Russell H. Taylor, Iulian Iordachita, Jin U. Kang, Xuan Liu
  • Patent number: 9233840
    Abstract: A method for processing a structure. The structure is formed and includes a substrate, a substructure having a sidewall and disposed on the substrate, a first polymer structure disposed on the substrate, and a second polymer structure disposed on the substrate such that the first polymer structure is disposed between the sidewall and the second polymer structure. An aspect ratio of the first polymer structure, the second polymer structure, or both is reduced in a reducing step. One polymer structure (i.e., the first polymer structure or the second polymer structure) is selectively removed from the structure such that a remaining polymer structure (i.e., the second polymer structure or the first polymer structure) remains disposed on the external surface of the substrate after the one polymer structure has been selectively removed, wherein the aspect ratio of the remaining polymer structure was reduced in the reducing step.
    Type: Grant
    Filed: October 28, 2010
    Date of Patent: January 12, 2016
    Assignees: International Business Machines Corporation, JSR Corporation
    Inventors: Joy Cheng, Hayato Namai, Daniel P. Sanders
  • Patent number: 9224592
    Abstract: A method of etching a ferroelectric capacitor stack structure including conductive upper and lower plates with a ferroelectric material, such as lead-zirconium-titanate (PZT), therebetween, with each of these layers defined by the same hard mask element. The stack etch process involves a plasma etch with a fluorine-bearing species as an active species in the etch of the conductive plates, and a non-fluorine-bearing chemistry for etching the PZT ferroelectric material. An example of the fluorine-bearing species is CF4. Endpoint detection can be used to detect the point at which the upper plate etch reaches the PZT, at which point the gases in the chamber are purged to avoid etching the PZT material with fluorine. A steeper sidewall angle for the capacitor structure can be obtained.
    Type: Grant
    Filed: August 29, 2014
    Date of Patent: December 29, 2015
    Assignee: TEXAS INTRUMENTS INCORPORATED
    Inventors: John Christopher Shriner, Abbas Ali
  • Patent number: 9224183
    Abstract: Systems and methods may provide for projection of a plurality of structured light patterns. In one example, the method may include generating a low-resolution pattern image utilizing a returned image, wherein the low-resolution pattern image is an approximation of an image that would have been generated utilizing a low-resolution pattern and generating a high-resolution pattern image utilizing a preprocessed returned image and a preprocessed low-resolution pattern image, wherein high-resolution pattern image is an approximation of an image that would have been generated utilizing a high-resolution pattern.
    Type: Grant
    Filed: March 28, 2012
    Date of Patent: December 29, 2015
    Assignee: Intel Corporation
    Inventors: Arie Bernstein, Ziv Aviv
  • Patent number: 9207528
    Abstract: Improved techniques are disclosed for fabrication of touch panels using thin sheet glass. Thin touch sensor panels each having a thickness of substantially less than approximately one-half millimeter can be produced. A thin mother glass sheet having a thickness of substantially less then approximately one half millimeter can be used. A thin film can be coupled to a surface of the thin mother glass sheet, and the thin film can be photolithographically patterned, while avoiding breakage of the thin mother glass sheet. The thin mother glass sheet can be singulated into the thin touch sensor panels.
    Type: Grant
    Filed: September 30, 2010
    Date of Patent: December 8, 2015
    Assignee: Apple Inc.
    Inventors: Seung Jae Hong, Lili Huang, John Z. Zhong
  • Patent number: 9190285
    Abstract: A method utilizing a chemical mechanical polishing process to remove a patterned material stack comprising at least one pattern transfer layer and a template layer during a rework process or during a post pattern transfer cleaning process is provided. The pattern in the patterned material stack is formed by pattern transfer of a directed self-assembly pattern generated from microphase separation of a self-assembly material.
    Type: Grant
    Filed: May 6, 2014
    Date of Patent: November 17, 2015
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Jassem A. Abdallah, Raghuveer R. Patlolla, Brown C. Peethala
  • Patent number: 9142419
    Abstract: In a semiconductor device manufacturing method, on a film to be processed, a mask material film is formed which has pattern openings for a plurality of contact patterns and connection openings for connecting adjacent pattern openings in such a manner that the connection between them is constricted in the middle. Then, a sidewall film is formed on the sidewalls of the individual openings in the mask material film, thereby not only making the diameter of the pattern openings smaller but also separating adjacent pattern openings. Then, the film to be processed is selectively etched with the mask material film and sidewall film as a mask, thereby making contact holes.
    Type: Grant
    Filed: January 27, 2014
    Date of Patent: September 22, 2015
    Assignee: KABUSHIKI KAISHA TOSHIBA
    Inventor: Shinya Watanabe
  • Patent number: 9123541
    Abstract: Compositions for directed self-assembly (DSA) patterning techniques are provided. Methods for directed self-assembly are also provided in which a DSA composition comprising a block copolymer is applied to a substrate and then self-assembled to form the desired pattern. The block copolymer includes at least two blocks of differing etch rates, so that one block (e.g., polymethylmethacrylate) is selectively removed during etching. Because the slower etching block (e.g., polystyrene) is modified with an additive to further slow the etch rate of that block, more of the slow etching block remains behind to fully transfer the pattern to underlying layers.
    Type: Grant
    Filed: April 1, 2014
    Date of Patent: September 1, 2015
    Assignee: Brewer Science Inc.
    Inventors: Kui Xu, Mary Ann Hockey, Douglas Guerrero
  • Patent number: 9109294
    Abstract: Disclosed is a manufacturing method which enables manufacturing of an ultra-fine, thin contact for current inspection jigs. After a gold or gold alloy plating layer is formed, an Ni electroformed layer is formed by electroformation on the outer periphery of the formed plating layer. After a resistant layer is formed on the outer periphery of the Ni electroformed layer, a spiral groove is formed in the resistant layer by laser exposure, and etching is carried out using the resistant layer as a masking material. The Ni electroformed layer is removed from the part of the resistant layer where the spiral groove was formed, and then the resistant layer is removed and the plating layer is removed from the part of the spiral groove section where the Ni electroformed layer was removed. The core material is then removed, leaving the plating layer inside the periphery of the Ni electroformed layer.
    Type: Grant
    Filed: January 27, 2011
    Date of Patent: August 18, 2015
    Assignee: NIDEC-READ CORPORATION
    Inventors: Kesao Kojima, Yutaka Ichikawa
  • Patent number: 9099399
    Abstract: Methods of forming fine patterns for semiconductor devices are provided. A method may include sequentially forming a lower layer and a mask layer having first openings on a substrate, forming pillars to fill the first openings and protrude upward from a top surface of the mask layer, forming a block copolymer layer on the substrate with the pillars, performing a thermal treatment to the block copolymer layer to form a first block portion and second block portions, removing the second block portions to form guide openings exposing the mask layer, and etching the mask layer exposed by the guide openings to form second openings.
    Type: Grant
    Filed: August 25, 2014
    Date of Patent: August 4, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Joonsoo Park, Soonmok Ha, Eunshoo Han, Seongho Moon, Sung-Wook Hwang
  • Patent number: 9096730
    Abstract: Some embodiments include methods of forming patterns. A block copolymer film may be formed over a substrate, with the block copolymer having an intrinsic glass transition temperature (Tg,0) and a degradation temperature (Td). A temperature window may be defined to correspond to temperatures (T) within the range of Tg,0?T?Td. While the block copolymer is in the upper half of the temperature window, solvent may be dispersed into the block copolymer to a process volume fraction that induces self-assembly of the block copolymer into a pattern. A defect specification may be defined, and the process volume fraction of solvent may be at level that achieves self-assembly within the defect specification. In some embodiments, the solvent may be removed from within the block copolymer while maintaining the defect specification.
    Type: Grant
    Filed: March 20, 2014
    Date of Patent: August 4, 2015
    Assignee: Micron Technology, Inc.
    Inventors: Dan Millward, Scott E. Sills
  • Patent number: 9093263
    Abstract: Disclosed herein is a formulation for depositing a cured underlayer for promoting the formation of self assembled structures. The underlayer comprises: (a) a polymer comprising at least one pendant vinyl ether monomer repeat unit having the structure, (I): wherein R is chosen from H, C1-C4 alkyl, or halogen, and W is a divalent group chosen from C1-C6 alkylene, C6-C20 arylene, benzylene, or C2-C20 alkyleneoxyalkylene; (ii) optional thermal acid generator; and (c) a solvent. The invention also relates to processes of forming a pattern using the underlayer.
    Type: Grant
    Filed: September 27, 2013
    Date of Patent: July 28, 2015
    Assignee: AZ ELECTRONIC MATERIALS (LUXEMBOURG) S.A.R.L.
    Inventors: Hengpeng Wu, Jian Yin, Guanyang Lin, JiHoon Kim, Jianhui Shan
  • Patent number: 9066425
    Abstract: Method of manufacturing patterned conductor is provided, comprising: providing a conductivized substrate, wherein the conductivized substrate comprises a substrate and an electrically conductive layer; providing an electrically conductive layer etchant; providing a spinning material; providing a masking fiber solvent; forming a plurality of masking fibers and depositing the plurality of masking fibers onto the electrically conductive layer; exposing the electrically conductive layer to the electrically conductive layer etchant, wherein the electrically conductive layer that is uncovered by the plurality of masking fibers is removed from the substrate, leaving an interconnected conductive network on the substrate covered by the plurality of masking fibers; and, exposing the plurality of masking fibers to the masking fiber solvent, wherein the plurality of masking fibers are removed to uncover the interconnected conductive network on the substrate.
    Type: Grant
    Filed: April 1, 2013
    Date of Patent: June 23, 2015
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Jake Joo, Jerome Claracq, Sylvie Vervoort, Mubasher Bashir, Peter Trefonas, Garo Khanarian, Kathleen O'Connell
  • Patent number: 9063408
    Abstract: The invention to provide curable materials, comprising photo-reactive compounds, in particular, photoinitiators and polymerizable mono- or multifunctional monomers such as acrylates or epoxides. The material may also contain fluoro-surfactants completely or partly terminated by functional groups with the ability to bind covalently to said chemical composition under curing. The curable compositions are either purely acrylate based or a hybrid of different types of monomers such as acrylates, epoxides or vinyl ethers. The polymerizable monomers may cure with the use of different types of photoinitiator, such as free radical photoinitiators or cationic photoinitiators, ultimately forming a hybrid resist comprising interpenetrating networks of different types of monomers e.g. acrylates and epoxides. The acrylate/epoxide hybrid system has showed improved replication properties in terms of high nano-imprint lithography process fidelity, due to increased conversion of acrylates and low shrinkage.
    Type: Grant
    Filed: December 10, 2009
    Date of Patent: June 23, 2015
    Assignee: Obducat AB
    Inventors: Jakob Nilsson, Matthias Keil, Johan Ring, Babak Heidari
  • Patent number: 9048011
    Abstract: The invention relates to the field of production in thin coatings of electronic devices and/or MEMS and relates to an improved method for forming a pattern in a thin SiARC anti-reflective coating, comprising the doping by deposition of such SiARC coating covered with a resist pattern through a protective coating of the resist pattern, then etching the doped zones of the SiARC coating (FIG. 3c).
    Type: Grant
    Filed: December 27, 2013
    Date of Patent: June 2, 2015
    Assignees: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES, CNRS—CENTRE NATIONAL DE LA RECHERCHE SCIENTIFIQUE, UNIVERSITE JOSEPH FOURIER
    Inventors: Nicolas Posseme, Olivier Joubert, Laurent Vallier
  • Patent number: 9040428
    Abstract: Hemispheres and spheres are formed and employed for a plurality of applications. Hemispheres are employed to form a substrate having an upper surface and a lower surface. The upper surface includes peaks of pillars which have a base attached to the lower surface. The peaks have a density defined at the upper surface by an array of hemispherical metal structures that act as a mask during an etch to remove substrate material down to the lower surface during formation of the pillars. The pillars are dense and uniform and include a microscale average diameter. The spheres are formed as independent metal spheres or nanoparticles for other applications.
    Type: Grant
    Filed: September 7, 2012
    Date of Patent: May 26, 2015
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Augustin J. Hong, Woo-Shik Jung, Jeehwan Kim, Jae-Woong Nahum, Devendra K. Sadana
  • Patent number: 9040427
    Abstract: A method of plasma etching a silicon carbide workpiece includes forming a mask on a surface of the silicon carbide workpiece, performing an initial plasma etch on the masked surface using a first set of process conditions, wherein the plasma is produced using an etchant gas mixture which includes i) oxygen and ii) at least one fluorine rich gas which is present in the etchant gas mixture at a volume ratio of less than 50%, and subsequently performing a bulk plasma etch process using a second set of process conditions which differ from the first set of process conditions.
    Type: Grant
    Filed: October 1, 2013
    Date of Patent: May 26, 2015
    Assignee: SPTS Technologies Limited
    Inventors: Huma Ashraf, Anthony Barker
  • Patent number: 9040141
    Abstract: This method for texturing a DLC coating comprises depositing a single layer of balls or spheres on the free surface of the DLC coating; dry-etching the DLC coating using oxygen plasma; and lastly, cleaning the surface of said coating by eliminating the balls or spheres.
    Type: Grant
    Filed: June 9, 2010
    Date of Patent: May 26, 2015
    Assignee: Commissariat a l'Energie Atomique et aux Energies Alternatives
    Inventors: Caroline Chouquet, Cédric Ducros, Jérôme Gavillet, Frédéric Sanchette
  • Patent number: 9034141
    Abstract: A thin film forming apparatus and a thin film forming method using the same are disclosed. In one aspect, the thin film forming apparatus comprises a mask that includes a blocking portion and an opening. It also includes an etching source that jets an etching gas through the opening of the mask to etch a thin film according to a pattern. The mask includes a gas blower for blowing a gas around the opening so that the etching gas does not penetrate into a thin film area corresponding to the block portion. When the thin film forming apparatus is used, a normal residual area of a thin film may be safely preserved and patterning may be accurately performed. Thus, the quality of a product manufactured by using the thin film forming apparatus may be improved.
    Type: Grant
    Filed: May 30, 2013
    Date of Patent: May 19, 2015
    Assignee: Samsung Display Co., Ltd.
    Inventors: Sung-Joong Joo, You-Min Cha
  • Patent number: 9034197
    Abstract: The disclosure relates generally to a method for fabricating a patterned medium. The method includes providing a substrate with an exterior layer under a lithographically patterned surface layer, the lithographically patterned surface layer comprising a first pattern in a first region and a second pattern in a second region, applying a first masking material over the first region, transferring the second pattern into the exterior layer in the second region, forming self-assembled block copolymer structures over the lithographically patterned surface layer, the self-assembled block copolymer structures aligning with the first pattern in the first region, applying a second masking material over the second region, transferring the polymer block pattern into the exterior layer in the first region, and etching the substrate according to the second pattern transferred to the exterior layer in the second region and the polymer block pattern transferred to the exterior layer in the first region.
    Type: Grant
    Filed: September 13, 2012
    Date of Patent: May 19, 2015
    Assignee: HGST NETHERLANDS B.V.
    Inventors: Jeffrey S. Lille, Kurt A. Rubin, Ricardo Ruiz, Lei Wan
  • Publication number: 20150125662
    Abstract: The present application is relating to a printing plate for reverse offset printing, a method for preparing the same, and a method for forming a bezel pattern using the printing plate. The printing plate for reverse offset printing according to an exemplary embodiment of the present application is effective for forming a bezel pattern of a display substrate.
    Type: Application
    Filed: July 5, 2013
    Publication date: May 7, 2015
    Inventors: Jooyeon Kim, Seung Heon Lee, Dae Hyun Kim, Ji Young Hwang
  • Patent number: 9023222
    Abstract: According to one embodiment, a pattern forming method includes forming a first guide layer on a processed film, phase-separating a first self-assembly material with the use of the first guide layer to form a first self-assembly pattern including a first polymer portion and a second polymer portion, selectively removing the first polymer portion, forming a second guide layer with the use of the second polymer portion, and phase-separating a second self-assembly material with the use of the second guide layer to form a second self-assembly pattern including a third polymer portion and a fourth polymer portion.
    Type: Grant
    Filed: September 5, 2013
    Date of Patent: May 5, 2015
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Ayako Kawanishi, Shinichi Ito, Hirokazu Kato, Shimon Maeda, Hideki Kanai
  • Patent number: 9023218
    Abstract: Methods of fabricating the fusible link are directed to processing a multi-layer clad foil having a first layer suitable for forming a fusible link and a second layer suitable for forming one or more welding tabs. In some embodiments, the first layer is an aluminum layer and the second layer is a nickel layer. A two-step etching process or a single step etching process is performed on the clad foil to form an etched clad foil having multiple tabs made of the second layer and connected to the current collector conductor pads and battery cell conductor pads, and one or more connections made of the first layer that form aluminum conductors. The aluminum conductors are shaped and sized to form aluminum fusible conductors during either the etching process or a subsequent stamping process. A single fusible link or an array of fusible links can be formed.
    Type: Grant
    Filed: July 9, 2013
    Date of Patent: May 5, 2015
    Assignee: SinoElectric Powertrain Corporation
    Inventors: Peng Zhou, Paul Tsao