Strained Layer Superlattice Patents (Class 257/18)
  • Patent number: 7737466
    Abstract: A semiconductor device includes a substrate having a first area and a second area adjacent to the first area, a first silicon layer provided on the substrate in the first area, a relaxed layer which is provided on the substrate in the second area and which has a lattice constant greater than a lattice constant of the first silicon layer, and a strained-Si layer which is provided on the relaxed layer and which has a lattice constant substantially equivalent to the lattice constant of the relaxed layer.
    Type: Grant
    Filed: August 13, 2007
    Date of Patent: June 15, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kaoru Hiyama, Tomoya Sanuki, Osamu Fujii
  • Patent number: 7723720
    Abstract: A packaged semiconductor device (450) includes a semiconductor chip (400) having at least one selectively thinned substrate (cavity) region (410). A package (460) is provided for mounting, enclosing and electrically connecting the chip (400) to the outside world, and structure for applying external stress (470) to induce strain in the thinned substrate region (410). The external stress is preferably adjustable, such as by varying the gas flow (or a vacuum) applied through a pressure valve.
    Type: Grant
    Filed: November 9, 2005
    Date of Patent: May 25, 2010
    Assignee: University of Florida Research Foundation, Inc.
    Inventors: Toshikazu Nishida, Scott E. Thompson, Al Ogden, Kehuey Wu
  • Patent number: 7714318
    Abstract: An electronic device can include a transistor structure of a first conductivity type, a field isolation region, and a layer of a first stress type overlying the field isolation region. For example, the transistor structure may be a p-channel transistor structure and the first stress type may be tensile, or the transistor structure may be an n-channel transistor structure and the first stress type may be compressive. The transistor structure can include a channel region that lies within an active region. An edge of the active region includes the interface between the channel region and the field isolation region. From a top view, the layer can include an edge the lies near the edge of the active region. The positional relationship between the edges can affect carrier mobility within the channel region of the transistor structure.
    Type: Grant
    Filed: July 28, 2008
    Date of Patent: May 11, 2010
    Assignee: Freescale Semiconductor, Inc
    Inventors: Vance H. Adams, Paul A. Grudowski, Venkat R. Kolagunta, Brian A. Winstead
  • Patent number: 7705345
    Abstract: A strained Fin Field Effect Transistor (FinFET) (and method for forming the same) includes a relaxed first material having a sidewall, and a strained second material formed on the sidewall of the first material. The relaxed first material and the strained second material form a fin of the FinFET.
    Type: Grant
    Filed: January 7, 2004
    Date of Patent: April 27, 2010
    Assignee: International Business Machines Corporation
    Inventors: Stephen W. Bedell, Kevin K. Chan, Dureseti Chidambarrao, Silke H. Christianson, Jack O. Chu, Anthony G. Domenicucci, Kam-Leung Lee, Anda C. Mocuta, John A. Ott, Qiqing C. Ouyang
  • Patent number: 7701072
    Abstract: The semiconductor device according to an aspect of the invention includes: an internal circuit area having an internal circuit; an I/O circuit area positioned outside the internal circuit area; and an electrode pad placed across an outer edge of the I/O circuit area. In the electrode pad, an area outside the outer edge of the I/O circuit area is a bonding area, and an area inside the outer edge is a probe area.
    Type: Grant
    Filed: June 12, 2006
    Date of Patent: April 20, 2010
    Assignee: NEC Electronics Corporation
    Inventor: Takayuki Nishida
  • Patent number: 7687798
    Abstract: The present invention relates a method for epitaxial growth of a second group III-V crystal having a second lattice constant over a first group III-V crystal having a first lattice constant, wherein strain relaxation associated with lattice-mismatched epitaxy is suppressed and thus dislocation defects do not form. In the first step, the surface of the first group III-V crystal (substrate) is cleansed by desorption of surface oxides. In the second step, a layer of condensed group-V species is condensed on the surface of the first group III-V crystal. In the third step, a mono-layer of constituent group-III atoms is deposited over the layer of condensed group-V species in order for the layer of constituent group-III atoms to retain the condensed group-V layer. Subsequently, the mono-layer of group-III atoms is annealed at a higher temperature.
    Type: Grant
    Filed: March 28, 2006
    Date of Patent: March 30, 2010
    Assignee: HRL Laboratories, LLC
    Inventor: Binqiang Shi
  • Patent number: 7684455
    Abstract: An oscillator including a substrate and a resonant tunneling diode including a gain medium provided on the substrate. The gain medium includes at least two quantum well layers and plural barrier layers for separating the quantum well layers from each other. The quantum well layers each have one of a compressive strain and a tensile strain. The plural barrier layers that sandwich the quantum well layers having the strain have a strain in a direction opposite to the direction of the strain of the quantum well layers.
    Type: Grant
    Filed: August 3, 2007
    Date of Patent: March 23, 2010
    Assignee: Canon Kabushiki Kaisha
    Inventors: Toshihiko Ouchi, Ryota Sekiguchi
  • Patent number: 7683362
    Abstract: A method of fabricating a semiconductor device is disclosed that is able to suppress a short channel effect and improve carrier mobility. In the method, trenches are formed in a silicon substrate corresponding to a source region and a drain region. When epitaxially growing p-type semiconductor mixed crystal layers to fill up the trenches, the surfaces of the trenches are demarcated by facets, and extended portions of the semiconductor mixed crystal layers are formed between bottom surfaces of second side wall insulating films and a surface of the silicon substrate, and extended portion are in contact with a source extension region and a drain extension region.
    Type: Grant
    Filed: June 21, 2006
    Date of Patent: March 23, 2010
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Hiroyuki Ohta, Takashi Sakuma, Yosuke Shimamune, Akiyoshi Hatada, Akira Katakami, Naoyoshi Tamura
  • Patent number: 7659537
    Abstract: A field effect transistor comprises a source and a drain, and a channel layer of Si1-x-yGexCy crystal (1>x>0, 1>y?0). Ge composition increases toward a drain end, in a vicinity of a source end of the channel layer.
    Type: Grant
    Filed: January 23, 2006
    Date of Patent: February 9, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tsutomu Tezuka, Shinichi Takagi, Tomohisa Mizuno
  • Patent number: 7649233
    Abstract: A MOS transistor having a highly stressed channel region and a method for forming the same are provided. The method includes forming a first semiconductor plate over a semiconductor substrate, forming a second semiconductor plate on the first semiconductor plate wherein the first semiconductor plate has a substantially greater lattice constant than the second semiconductor plate, and forming a gate stack over the first and the second semiconductor plates. The first and the second semiconductor plates include extensions extending substantially beyond side edges of the gate stack. The method further includes forming a silicon-containing layer on the semiconductor substrate, preferably spaced apart from the first and the second semiconductor plates, forming a spacer, a LDD region and a source/drain region, and forming a silicide region and a contact etch stop layer. A high stress is developed in the channel region. Current crowding effects are reduced due to the raised silicide region.
    Type: Grant
    Filed: December 5, 2007
    Date of Patent: January 19, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Hao Wang, Ching-Wei Tsai, Ta-Wei Wang
  • Patent number: 7646066
    Abstract: A method of fabricating a double gate FET on a silicon substrate includes the steps of sequentially epitaxially growing a lower gate layer of crystalline rare earth silicide material on the substrate, a lower gate insulating layer of crystalline rare earth insulating material, an active layer of crystalline semiconductor material, an upper gate insulating layer of crystalline rare earth insulating material, and an upper gate layer of crystalline rare earth conductive material. The upper gate layer and the upper gate electrically insulating layer are etched and a contact is deposited on the upper gate layer to define an upper gate structure. An impurity is implanted into the lower gate layer to define a lower gate area aligned with the upper gate structure. A source and drain are formed in the active layer and contacts are deposited on the source and drain, respectively.
    Type: Grant
    Filed: March 11, 2008
    Date of Patent: January 12, 2010
    Assignee: Translucent, Inc.
    Inventor: Petar B. Atanakovic
  • Patent number: 7633103
    Abstract: A semiconductor device is provided which includes a substrate including an inactive region and an active region, a gate electrode structure having portions overlying the active region, a compressive layer overlying the active region, and a tensile layer overlying the inactive region and located outside the active region. The active region has a lateral edge which defines a width of the active region, and a transverse edge which defines a length of the active region. The gate electrode structure includes: a common portion spaced apart from the active region; a plurality of gate electrode finger portions integral with the common portion, and a plurality of fillet portions integral with the common portion and the gate electrode finger portions. A portion of each gate electrode finger portion overlies the active region. The fillet portions are disposed between the common portion and the gate electrode finger portions, and do not overlie the active region.
    Type: Grant
    Filed: August 28, 2007
    Date of Patent: December 15, 2009
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Akif Sultan, James F. Buller, Kaveri Mathur
  • Patent number: 7629603
    Abstract: A method to form a strain-inducing semiconductor region comprising three or more species of charge-neutral lattice-forming atoms is described. In one embodiment, formation of a strain-inducing semiconductor region, comprising three or more species of charge-neutral lattice-forming atoms, laterally adjacent to a crystalline substrate results in a uniaxial strain imparted to the crystalline substrate. Thus, a strained crystalline substrate may be provided. In another embodiment, a semiconductor region with a crystalline lattice of three or more species of charge-neutral lattice-forming atoms imparts a strain to a crystalline substrate, wherein the lattice constant of the semiconductor region is different from that of the crystalline substrate.
    Type: Grant
    Filed: June 9, 2006
    Date of Patent: December 8, 2009
    Assignee: Intel Corporation
    Inventors: Chi On Chui, Prashant Majhi, Wilman Tsai, Jack T. Kavalieros
  • Patent number: 7626244
    Abstract: A structure and a method of making the structure. The structure includes a field effect transistor including: a first and a second source/drain formed in a silicon substrate, the first and second source/drains spaced apart and separated by a channel region in the substrate; a gate dielectric on a top surface of the substrate over the channel region; and an electrically conductive gate on a top surface of the gate dielectric; and a dielectric pillar of a first dielectric material over the gate; and a dielectric layer of a second dielectric material over the first and second source/drains, sidewalls of the dielectric pillar in direct physical contact with the dielectric layer, the dielectric pillar having no internal stress or an internal stress different from an internal stress of the dielectric layer.
    Type: Grant
    Filed: February 28, 2007
    Date of Patent: December 1, 2009
    Assignee: International Business Machines Corporation
    Inventors: Brent Alan Anderson, Edward Joseph Nowak
  • Patent number: 7615454
    Abstract: The present invention provides a semiconducting device including a gate region positioned on a mesa portion of a substrate; and a nitride liner positioned on the gate region and recessed surfaces of the substrate adjacent to the gate region, the nitride liner providing a stress to a device channel underlying the gate region. The stress produced on the device channel is a longitudinal stress on the order of about 275 MPa to about 450 Mpa.
    Type: Grant
    Filed: October 22, 2007
    Date of Patent: November 10, 2009
    Assignee: International Business Machines Corporation
    Inventors: Dureseti Chidambarrao, Omer H. Dokumaci
  • Patent number: 7615471
    Abstract: The invention relates to a method for producing a tensioned layer on a substrate involving the following steps: producing a defect area in a layer adjacent to the layer to be tensioned, and; relaxing at least one layer adjacent to the layer to be tensioned. Additional layers can be epitaxially deposited. Layer structures formed in this manner are advantageously suited for components of all types.
    Type: Grant
    Filed: April 8, 2004
    Date of Patent: November 10, 2009
    Assignee: Forschungszentrum Julich GmbH
    Inventor: Siegfried Mantl
  • Patent number: 7612363
    Abstract: An n-type Group III nitride semiconductor stacked layer structure including a first n-type layer which includes a layer containing n-type impurity atoms at a high concentration and a layer containing n-type impurity atoms at a low concentration, a second n-type layer containing n-type impurity atoms at an average concentration smaller than that of the first n-type layer, the second n-type layer neighboring the layer containing n-type impurity atoms at a low concentration in the first n-type layer.
    Type: Grant
    Filed: September 13, 2005
    Date of Patent: November 3, 2009
    Assignee: Showa Denko K.K.
    Inventors: Hitoshi Takeda, Hisayuki Miki
  • Patent number: 7612366
    Abstract: A semiconductor device may include a stress layer and a strained superlattice layer above the stress layer and including a plurality of stacked groups of layers. More particularly, each group of layers of the strained superlattice layer may include a plurality of stacked base semiconductor monolayers defining a base semiconductor portion, and at least one non-semiconductor monolayer constrained within a crystal lattice of adjacent base semiconductor portions.
    Type: Grant
    Filed: July 13, 2006
    Date of Patent: November 3, 2009
    Assignee: MEARS Technologies, Inc.
    Inventors: Robert J. Mears, Scott A. Kreps
  • Patent number: 7612364
    Abstract: A semiconductor structure includes a semiconductor substrate; a gate stack on the semiconductor substrate; a stressor having at least a portion in the semiconductor substrate and adjacent to the gate stack, wherein the stressor comprises an impurity of a first conductivity type; and a portion of the semiconductor substrate adjoining the stressor and on an opposite side of the stressor from the gate stack, wherein the portion of the semiconductor substrate is doped with an impurity of the first conductivity type.
    Type: Grant
    Filed: November 20, 2006
    Date of Patent: November 3, 2009
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Harry Chuang, Kong-Beng Thei, Yuan-Chen Sun
  • Patent number: 7598515
    Abstract: A semiconductor device may include a strained superlattice layer including a plurality of stacked groups of layers, and a stress layer above the strained superlattice layer. Each group of layers of the strained superlattice layer may include a plurality of stacked base semiconductor monolayers defining a base semiconductor portion, and at least one non-semiconductor monolayer constrained within a crystal lattice of adjacent base semiconductor portions.
    Type: Grant
    Filed: July 13, 2006
    Date of Patent: October 6, 2009
    Assignee: MEARS Technologies, Inc.
    Inventors: Robert J. Mears, Scott A. Kreps
  • Patent number: 7595499
    Abstract: A method for forming a strained layer of semiconductor material, e.g., silicon, germanium, Group III/V, silicon germanium alloy. The method includes providing a non-deformable surface region having a first predetermined radius of curvature, which is defined by R(1) and is defined normal to the surface region. The method includes providing a first substrate (e.g., silicon wafer) having a first thickness. Preferably, the first substrate has a face, a backside, and a cleave plane defined within the first thickness. The method includes a step of overlying the backside of the first substrate on a portion of the surface region having the predetermined radius of curvature to cause a first bend within the thickness of material to form a first strain within a portion of the first thickness. The method provides a second substrate having a second thickness, which has a face and a backside.
    Type: Grant
    Filed: February 19, 2008
    Date of Patent: September 29, 2009
    Assignee: Silicon Genesis Corporation
    Inventors: Francois J. Henley, Philip James Ong, Igor J. Malik, Harry R. Kirk
  • Patent number: 7592653
    Abstract: An improved way to apply tensile or compressive stress to one or more transistors on a semiconductor device is described. A portion of the tensile or compressive stress liner may be removed or modified such that a reduced amount of stress, or even no stress, is applied above the transistor gate. This may cause edges of the stress liner to be adjacent to and on either side of the channel, thus, increasing the stress effect. To produce this stress liner structure, the stress liner may be applied and then a portion of the stress liner is modified to reduce the stress in that portion, such as through ion implantation. The stress liner portion may be modified to have a reduced stress by, for example, implanting certain ions such as germanium or xenon ions therein.
    Type: Grant
    Filed: April 24, 2006
    Date of Patent: September 22, 2009
    Assignee: Toshiba America Electronic Components, Inc.
    Inventor: Gaku Sudo
  • Patent number: 7592619
    Abstract: A method of forming an epitaxial layer of uniform thickness is provided to improve surface flatness. A substrate is first provided and a Si base layer is then formed on the substrate by epitaxy. A Si—Ge layer containing 5 to 10% germanium is formed on the Si base layer by epitaxy to normalize the overall thickness of the Si base layer and the Si—Ge layer containing 5 to 10% germanium.
    Type: Grant
    Filed: January 12, 2007
    Date of Patent: September 22, 2009
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Pang-Yen Tsai, Liang-Gi Yao, Chun-Chieh Lin, Wen-Chin Lee, Shih-Chang Chen
  • Patent number: 7586177
    Abstract: A method of fabricating a semiconductor-on-insulator semiconductor wafer is described that includes providing first and second semiconductor substrates. A first insulating layer is formed on the first substrate with a first predetermined stress and a second insulating layer is formed on the second substrate with a second predetermined stress different than the first predetermined stress. The first insulating layer is bonded to the second insulating layer to form a composite insulating layer bonding the first substrate to the second substrate and a portion of the one substrate is removed to form a thin crystalline active layer on the composite insulating layer. The first and second insulating layers are formed with different stresses to provide a desired composite stress, which can be any stress from compressive to unstressed to tensile, depending upon the desired application.
    Type: Grant
    Filed: May 8, 2007
    Date of Patent: September 8, 2009
    Assignee: Translucent, Inc.
    Inventor: Petar B. Atanakovic
  • Patent number: 7582891
    Abstract: Semiconductor structures having at least one quantum well heterostructure grown strain-free on Si(100) via a Sn1-xGex buffer layer and their uses are provided.
    Type: Grant
    Filed: September 16, 2005
    Date of Patent: September 1, 2009
    Inventors: John Kouvetakis, Jose Menendez, John Tolle, Ling Liao, Dean Samara-Rubio
  • Patent number: 7579617
    Abstract: A method of fabricating a semiconductor device is disclosed that is able to suppress a short channel effect and improve carrier mobility. In the method, trenches are formed in a silicon substrate corresponding to a source region and a drain region. When epitaxially growing p-type semiconductor mixed crystal layers to fill up the trenches, the surfaces of the trenches are demarcated by facets, and extended portions of the semiconductor mixed crystal layers are formed between bottom surfaces of second side wall insulating films and a surface of the silicon substrate, and extended portion are in contact with a source extension region and a drain extension region.
    Type: Grant
    Filed: September 20, 2005
    Date of Patent: August 25, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Yosuke Shimamune, Hiroyuki Ohta, Akiyoshi Hatada, Akira Katakami, Naoyoshi Tamura
  • Patent number: 7569442
    Abstract: A method for forming and the structure of a strained lateral channel of a field effect transistor, a field effect transistor and CMOS circuitry is described incorporating a drain, body and source region on a single crystal semiconductor substrate wherein a hetero-junction is formed between the source and body of the transistor, wherein the source region and channel are independently lattice strained with respect the body region. The invention reduces the problem of leakage current from the source region via the hetero-junction and lattice strain while independently permitting lattice strain in the channel region for increased mobility via choice of the semiconductor materials and alloy composition.
    Type: Grant
    Filed: June 22, 2005
    Date of Patent: August 4, 2009
    Assignee: International Business Machines Corporation
    Inventors: Qiqing Christine Ouyang, Jack Oon Chu
  • Patent number: 7564096
    Abstract: A field effect transistor (FET) includes a semiconductor region of a first conductivity type and a well region of a second conductivity type extending over the semiconductor region. A gate electrode is adjacent to but insulated from the well region, and a source region of the first conductivity type is in the well region. A heavy body region is in electrical contact with the well region, and includes a material having a lower energy gap than the well region.
    Type: Grant
    Filed: February 9, 2007
    Date of Patent: July 21, 2009
    Assignee: Fairchild Semiconductor Corporation
    Inventors: Qi Wang, Ming-Huang Huang, Joelle Sharp
  • Patent number: 7554110
    Abstract: A semiconductor structure includes a semiconductor substrate having a first lattice constant; a gate dielectric on the semiconductor substrate; a gate electrode on the semiconductor substrate; and a stressor having at least a portion in the semiconductor substrate and adjacent the gate electrode. The stressor has a tilted sidewall on a side adjacent the gate electrode. The stressor includes a first stressor layer having a second lattice constant substantially different from the first lattice constant; and a second stressor layer on the first stressor layer, wherein the second stressor has a third lattice constant substantially different from the first and the second lattice constants.
    Type: Grant
    Filed: April 3, 2007
    Date of Patent: June 30, 2009
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Hua Yu, Mong-Song Liang, Tze-Liang Lee, Jr-Hung Li
  • Patent number: 7541610
    Abstract: A light source is provided including an LED component having an emitting surface, which may include: i) an LED capable of emitting light at a first wavelength; and ii) a re-emitting semiconductor construction which includes a second potential well not located within a pn junction having an emitting surface; or which may alternately include a first potential well located within a pn junction and a second potential well not located within a pn junction; and which additionally includes a converging optical element.
    Type: Grant
    Filed: June 11, 2007
    Date of Patent: June 2, 2009
    Assignee: 3M Innovative Properties Company
    Inventor: Michael A. Haase
  • Patent number: 7538339
    Abstract: An integrated circuit including pairs of strained complementary CMOS field-effect devices consisting of n-FET and p-FET transistors on a substrate. The n-FET is provided with a compressive dielectric stressor, while the p-FET is provided with a tensile stressed dielectric. Each dielectric stressor includes a discrete horizontal segment on a surface overlying and contacting the gate of the respective FET. The stress enhancement is insensitive to PC pitch, and by reducing the height of the polysilicon stack, the scalability which is achieved contributes to a performance improvement. The n-FET leverages higher stress values that are obtainable in the compressive liners are greater than 3 GPa compared to less than 1.5 GPa for tensile liners.
    Type: Grant
    Filed: December 22, 2006
    Date of Patent: May 26, 2009
    Assignee: International Business Machines Corporation
    Inventors: Brian J. Greene, Sameer H. Jain, William K. Henson
  • Publication number: 20090114902
    Abstract: A semiconductor structure is provided. The semiconductor structure includes one or more III-IV material-based semiconductor layers. A tensile-strained Ge layer is formed on the one or more a III-IV material-based semiconductor layers. The tensile-strained Ge layer is produced through lattice-mismatched heteroepitaxy on the one or more a III-IV material-based semiconductor layers.
    Type: Application
    Filed: November 6, 2008
    Publication date: May 7, 2009
    Inventors: Yu Bai, Minjoo L. Lee, Eugene A. Fitzgerald
  • Patent number: 7514317
    Abstract: A method of making a semiconductor device is disclosed. A semiconductor body, an STI region, a gate and a silicided source/drain region are provided. The STI area is etched, and a liner is formed at the upper surface.
    Type: Grant
    Filed: September 15, 2006
    Date of Patent: April 7, 2009
    Assignee: Infineon Technologies AG
    Inventor: Richard Lindsay
  • Patent number: 7511348
    Abstract: The channels of first and second CMOS transistors can be selectively stressed. A gate structure of the first transistor includes a stressor that produces stress in the channel of the first transistor. A gate structure of the second transistor is disposed in contact with a layer of material that produces stress in the channel of the second transistor.
    Type: Grant
    Filed: March 13, 2007
    Date of Patent: March 31, 2009
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Hsin Ko, Wen-Chin Lee, Chung-Hu Ke, Hung-Wei Chen
  • Publication number: 20090045395
    Abstract: An infrared focal plane array (FPA) is disclosed which utilizes a strained-layer superlattice (SLS) formed of alternating layers of InAs and InxGa1?xSb with 0?x?0.5 epitaxially grown on a GaSb substrate. The FPA avoids the use of a mesa structure to isolate each photodetector element and instead uses impurity-doped regions formed in or about each photodetector for electrical isolation. This results in a substantially-planar structure in which the SLS is unbroken across the entire width of a 2-D array of the photodetector elements which are capped with an epitaxially-grown passivation layer to reduce or eliminate surface recombination. The FPA has applications for use in the wavelength range of 3-25 ?m.
    Type: Application
    Filed: August 17, 2007
    Publication date: February 19, 2009
    Inventors: Jin K. Kim, Malcolm S. Carroll, Aaron Gin, Phillip F. Marsh, Erik W. Young, Michael J. Cich
  • Patent number: 7491966
    Abstract: A process for producing a semiconductor substrate comprising a carrier wafer and a layer of single-crystalline semiconductor material: a) producing a layer containing recesses at the surface of a donor wafer of single-crystalline semiconductor material, b) joining the surface of the donor wafer containing recesses to the carrier wafer, c) heat treating to close the recesses at the interface between the carrier wafer and the donor wafer to form a layer of cavities within the donor wafer, and d) splitting the donor wafer along the layer of cavities, resulting in a layer of semiconductor material on the carrier wafer. Semiconductor substrates prepared thusly may have a single-crystalline semiconductor layer having a thickness of 100 nm or less, a layer thickness uniformity of 5% or less, and an HF defect density of 0.02/cm2 or less.
    Type: Grant
    Filed: June 21, 2005
    Date of Patent: February 17, 2009
    Assignee: Siltronic AG
    Inventors: Dirk Dantz, Andreas Huber, Reinhold Wahlich, Brian Murphy
  • Patent number: 7485908
    Abstract: An insulated gate silicon nanowire transistor amplifier structure is provided and includes a substrate formed of dielectric material. A patterned silicon material may be disposed on the substrate and includes at least first, second and third electrodes uniformly spaced on the substrate by first and second trenches. A first nanowire formed in the first trench operates to electrically couple the first and second electrodes. A second nanowire formed in the second trench operates to electrically couple the second and third electrodes. First drain and first source contacts may be respectively disposed on the first and second electrodes and a first gate contact may be disposed to be capacitively coupled to the first nanowire. Similarly, second drain and second source contacts may be respectively disposed on the second and third electrodes and a second gate contact may be disposed to be capacitively coupled to the second nanowire.
    Type: Grant
    Filed: August 18, 2005
    Date of Patent: February 3, 2009
    Assignee: United States of America as represented by the Secretary of the Air Force
    Inventors: Abul F Anwar, Richard T. Webster
  • Patent number: 7476579
    Abstract: A structure and method for making includes adjacent PMOSFET and nMOSFET devices in which the gate stacks are each overlain by a stressing layer that provides compressive stress in the channel of the PMOSFET device and tensile stress in the channel of the nMOSFET device. One of the PMOSFET or nMOSFET device has a height shorter than that of the other adjacent device, and the shorter of the two devices is delineated by a discontinuity or opening in the stressing layer overlying the shorter device. In a preferred method for forming the devices a single stressing layer is formed over gate stacks having different heights to form a first type stress in the substrate under the gate stacks, and forming an opening in the stressing layer at a distance from the shorter gate stack so that a second type stress is formed under the shorter gate stack.
    Type: Grant
    Filed: November 17, 2006
    Date of Patent: January 13, 2009
    Assignee: International Business Machines Corporation
    Inventors: Huilong Zhu, Jing Wang, Bruce B. Doris, Zhibin Ren
  • Patent number: 7473591
    Abstract: Various methods for forming a layer of strained silicon in a channel region of a device and devices constructed according to the disclosed methods. In one embodiment, a strain-inducing layer is formed, a relaxed layer is formed on the strain-inducing layer, a portion of the strain-inducing layer is removed, which allows the strain-inducing layer to relax and strain the relaxed layer.
    Type: Grant
    Filed: December 1, 2005
    Date of Patent: January 6, 2009
    Assignee: Intel Corporation
    Inventors: Stephen M. Cea, Ravindra Soman, Ramune Nagisetty, Sunit Tyagi, Sanjay Natarajan
  • Publication number: 20080308788
    Abstract: A quantum dot semiconductor device includes an active layer having a plurality of quantum dot layers each including a composite quantum dot formed by stacking a plurality of quantum dots and a side barrier layer formed in contact with a side face of the composite quantum dot. The stack number of the quantum dots and the magnitude of strain of the side barrier layer from which each of the quantum dot layers is formed are set so that a gain spectrum of the active layer has a flat gain bandwidth corresponding to a shift amount of the gain spectrum within a desired operation temperature range.
    Type: Application
    Filed: March 13, 2008
    Publication date: December 18, 2008
    Applicants: FUJITSU LIMITED, THE UNIVERSITY OF TOKYO
    Inventors: Hiroji EBE, Kenichi KAWAGUCHI, Ken MORITO, Yasuhiko ARAKAWA
  • Patent number: 7462525
    Abstract: The present invention provides a semiconductor material that has enhanced electron and hole mobilities that comprises a Si-containing layer having a <110> crystal orientation and a biaxial compressive strain. The term “biaxial compressive stress” is used herein to describe the net stress caused by longitudinal compressive stress and lateral stress that is induced upon the Si-containing layer during the manufacturing of the semiconductor material. Other aspect of the present invention relates to a method of forming the semiconductor material of the present invention. The method of the present invention includes the steps of providing a silicon-containing <110> layer; and creating a biaxial strain in the silicon-containing <110> layer.
    Type: Grant
    Filed: October 25, 2007
    Date of Patent: December 9, 2008
    Assignee: International Business Machines Corporation
    Inventors: Victor Chan, Massimo V. Fischetti, John M. Hergenrother, Meikei Ieong, Rajesh Rengarajan, Alexander Reznicek, Paul M. Solomon, Chun-yung Sung, Min Yang
  • Patent number: 7462859
    Abstract: A spin coherent, single photon detector has a body of semiconductor material with a quantum well region formed in barrier material in the body. The body has a first electrode forming an isolation electrode for defining, when negatively energized, an extent of the quantum well in the body and a second electrode positioned above a location where an electrostatic quantum dot is defined in said quantum well when positively energized. The quantum well occurs in three layers of material: a central quantum well layer and two outer quantum well layers, the two outer quantum well layers having a relatively low conduction band minimum and the barrier having a relatively high conduction band minimum while the central quantum well layer having a conduction band minimum between the relatively high and relatively low conduction band minimums.
    Type: Grant
    Filed: October 2, 2006
    Date of Patent: December 9, 2008
    Assignee: HRL Laboratories, LLC
    Inventors: Edward T. Croke, III, Mark F. Gyure
  • Patent number: 7459719
    Abstract: An optical semiconductor device includes an active layer having a quantum well structure including alternately stacked well layers and barrier layers with a larger band gap than the well layers. The band gap of each of the well layers and the barrier layers is constant, each well layer is uniformly provided with compression strain and each barrier layer is provided with large extension strain in a center portion thereof along the thickness direction and small extension strain in portions thereof in the vicinity of the well layers.
    Type: Grant
    Filed: December 16, 2005
    Date of Patent: December 2, 2008
    Assignee: Panasonic Corporation
    Inventors: Jun Shimizu, Tetsuzo Ueda, Toshikazu Onishi
  • Publication number: 20080283823
    Abstract: A gallium-nitride-based semiconductor stacked structure includes a sapphire substrate; a low temperature-deposited buffer layer which is composed of a Group III nitride material of AlxGayN (0.5<Y?1, X+Y=1) containing gallium (Ga) in a predominant amount with respect to aluminum (Al), which has been grown at low temperature and which is provided in a junction area thereof joined to a (0001) plane (c-plane) of the sapphire substrate with a single crystal in an as-grown state; and a gallium-nitride (GaN)-based semiconductor layer formed on the low-temperature-deposited buffer layer. The low-temperature-deposited buffer layer is predominantly composed of an as-grown single crystal which has a [1.0.-1.0.] orientation parallel to a [2.-1.1.0.] direction of a lattice forming a (0001) basal plane of the sapphire substrate.
    Type: Application
    Filed: June 9, 2005
    Publication date: November 20, 2008
    Applicant: SHOWA DENKO K.K.
    Inventor: Takashi Udagawa
  • Patent number: 7453108
    Abstract: A semiconductor device according to an embodiment includes an insulated-gate field-effect transistor including a gate insulation film provided on a major surface of a semiconductor substrate, a gate electrode provided on the gate insulation film, and a source and a drain provided spaced apart in the semiconductor substrate such that the gate electrode is interposed between the source and the drain, a first contact wiring line which is provided on the source, a second contact wiring line which is provided on the drain, and a piezoelectric layer which is provided to cover the gate electrode and has one end and the other end connected between the first and second contact wiring lines.
    Type: Grant
    Filed: January 17, 2007
    Date of Patent: November 18, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Zhengwu Jin
  • Publication number: 20080276979
    Abstract: The present invention provides nanowires and nanoribbons that are well suited for use in thermoelectric applications. The nanowires and nanoribbons are characterized by a periodic longitudinal modulation, which may be a compositional modulation or a strain-induced modulation. The nanowires are constructed using lithographic techniques from thin semiconductor membranes, or “nanomembranes.
    Type: Application
    Filed: May 7, 2007
    Publication date: November 13, 2008
    Inventors: Max G. Lagally, Paul G. Evans, Clark S. Ritz
  • Publication number: 20080265241
    Abstract: A semiconductor device is disclosed. In one embodiment, the semiconductor device includes a channel formation region formed on a side wall, having a mixture of a first semiconductor material with a first lattice constant, a second semiconductor material and carbon, the second semiconductor material having a second lattice constant differing from the first lattice constant.
    Type: Application
    Filed: April 26, 2007
    Publication date: October 30, 2008
    Applicant: INFINEON TECHNOLOGIES AG
    Inventor: Christian Foerster
  • Patent number: 7443561
    Abstract: Double well structures in electro-absorption modulators are created in quantum well active regions by embedding deep ultra thin quantum wells. The perturbation introduced by the embedded, deep ultra thin quantum well centered within a conventional quantum well lowers the confined energy state for the wavefunction in the surrounding larger well and typically results in the hole and electron distributions being more confined to the center of the conventional quantum well. The extinction ratio provided by the electro-absorption modulator is typically increased.
    Type: Grant
    Filed: June 8, 2005
    Date of Patent: October 28, 2008
    Assignee: Avago Technologies Fiber IP (Singapore) Pte. Ltd.
    Inventors: David P. Bour, Ashish Tandon, Michael R. T. Tan
  • Patent number: 7436026
    Abstract: A semiconductor device may include a semiconductor substrate and at least one metal oxide semiconductor field-effect transistor (MOSFET). The at least one MOSFET may include spaced apart source and drain regions in the semiconductor substrate, and a superlattice channel including a plurality of stacked groups of layers on the semiconductor substrate between the source and drain regions. The superlattice channel may have upper surface portions vertically stepped above adjacent upper surface portions of the source and drain regions. Each group of layers of the superlattice channel may include a plurality of stacked base semiconductor monolayers defining a base semiconductor portion and an energy band-modifying layer thereon. The energy-band modifying layer may include at least one non-semiconductor monolayer constrained within a crystal lattice of adjacent base semiconductor. The at least one MOSFET may additionally include a gate overlying the superlattice channel.
    Type: Grant
    Filed: September 14, 2004
    Date of Patent: October 14, 2008
    Assignee: Mears Technologies, Inc.
    Inventor: Scott A. Kreps
  • Patent number: 7429748
    Abstract: A method and a layered heterostructure for forming high mobility Ge channel field effect transistors is described incorporating a plurality of semiconductor layers on a semiconductor substrate, and a channel structure of a compressively strained epitaxial Ge layer having a higher barrier or a deeper confining quantum well and having extremely high hole mobility for complementary MODFETs and MOSFETs. The invention overcomes the problem of a limited hole mobility due to alloy scattering for a p-channel device with only a single compressively strained SiGe channel layer. This invention further provides improvements in mobility and transconductance over deep submicron state-of-the art Si pMOSFETs in addition to having a broad temperature operation regime from above room temperature (425 K) down to cryogenic low temperatures (0.4 K) where at low temperatures even high device performances are achievable.
    Type: Grant
    Filed: October 10, 2006
    Date of Patent: September 30, 2008
    Assignee: International Business Machines Corporation
    Inventor: Jack O. Chu