Dielectric In Groove Patents (Class 257/510)
  • Patent number: 10516045
    Abstract: A lateral trench MOSFET comprises an insulating layer buried in a substrate, a body region in the substrate, an isolation region in the substrate, a first drain/source region over the body region, a second drain/source region in the substrate, wherein the first drain/source region and the second drain/source region are on opposing sides of the isolation region, a drift region comprising a first drift region of a first doping density formed between the second drain/source region and the insulating layer, wherein the first drift region comprises an upper portion surrounded by isolation regions and a lower portion and a second drift region of a second doping density formed between the isolation region and the insulating layer, wherein a height of the second drift region is equal to a height of the lower portion of the first drift region.
    Type: Grant
    Filed: July 11, 2017
    Date of Patent: December 24, 2019
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Po-Yu Chen
  • Patent number: 10510826
    Abstract: A device includes a first capacitor and a second capacitor connected to the first capacitor in parallel. The first capacitor includes a semiconductor region and a first plurality of gate stacks. The first plurality of gate stacks comprise a plurality of gate dielectrics over and contacting the semiconductor region, and a plurality of gate electrodes over the plurality of gate dielectrics. The second capacitor includes an isolation region, a second plurality of gate stacks over the isolation region, and a plurality of conductive strips over the isolation region and parallel to the second plurality of gate stacks. The second plurality of gate stacks and the plurality of conductive strips are laid out alternatingly.
    Type: Grant
    Filed: April 30, 2018
    Date of Patent: December 17, 2019
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hao-Chieh Chan, Chung-Hui Chen
  • Patent number: 10483154
    Abstract: In various aspects, the present disclosure relates to device structures and a method of forming such a device structure. In some illustrative embodiments herein, a device is provided, including a semiconductor substrate having a first trench formed therein, and a first trench isolation structure formed in the first trench. The first trench isolation structure includes first and second insulating liners formed adjacent inner surfaces of the first trench, wherein the first insulating liner is in direct contact with inner surfaces of the first trench and the second insulating liner is formed directly on the first insulating liner, and a first insulating filling material which at least partially fills the first trench. In some aspects, a thickness of the first insulating liner is greater than a thickness of the second insulating liner.
    Type: Grant
    Filed: June 22, 2018
    Date of Patent: November 19, 2019
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Elliot John Smith, Marcus Wolf, Carsten Peters, Markus Lenski, Loic Gaben
  • Patent number: 10483394
    Abstract: A semiconductor device includes a Fin FET device. The Fin FET device includes a first fin structure extending in a first direction and protruding from an isolation insulating layer, a first gate stack including a first gate electrode layer and a first gate dielectric layer, covering a portion of the first fin structure and extending in a second direction perpendicular to the first direction, and a first source and a first drain, each including a first stressor layer disposed over the first fin structure. The first fin structure and the isolation insulating layer are disposed over a substrate. A height Ha of an interface between the first fin structure and the first stressor layer measured from the substrate is greater than a height Hb of a lowest height of the isolation insulating layer measured from the substrate.
    Type: Grant
    Filed: August 6, 2018
    Date of Patent: November 19, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Cheng-Yen Yu, Che-Cheng Chang, Tung-Wen Cheng, Zhe-Hao Zhang, Bo-Feng Young
  • Patent number: 10475762
    Abstract: A 3DIC structure includes a die, a conductive terminal, and a dielectric structure. The die is bonded to a carrier through a bonding film. The conductive terminal is disposed over and electrically connected to the die. The dielectric structure comprises a first dielectric layer and a second dielectric layer. The first dielectric layer is disposed laterally aside the die. The second dielectric layer is disposed between the first dielectric layer and the bonding film, and between the die and the boding film. A second edge of the second dielectric layer is more flat than a first edge of the first dielectric layer.
    Type: Grant
    Filed: May 17, 2018
    Date of Patent: November 12, 2019
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Sung-Feng Yeh, Hsien-Wei Chen, Ming-Fa Chen
  • Patent number: 10460993
    Abstract: Embodiments of the disclosure are in the field of advanced integrated circuit structure fabrication and, in particular, 10 nanometer node and smaller integrated circuit structure fabrication and the resulting structures. In an example, an integrated circuit structure includes a fin. A first isolation structure separates a first end of a first portion of the fin from a first end of a second portion of the fin, the first end of the first portion of the fin having a depth. A gate structure is over the top of and laterally adjacent to the sidewalls of a region of the first portion of the fin. A second isolation structure is over a second end of a first portion of the fin, the second end of the first portion of the fin having a depth different than the depth of the first end of the first portion of the fin.
    Type: Grant
    Filed: December 29, 2017
    Date of Patent: October 29, 2019
    Assignee: Intel Corporation
    Inventors: Tahir Ghani, Byron Ho, Curtis W. Ward, Michael L. Hattendorf, Christopher P. Auth
  • Patent number: 10446566
    Abstract: Some embodiments include an assembly having channel material structures extending upwardly from a conductive structure. Anchor structures are laterally offset from the channel material structures and penetrate into the conductive structure to a depth sufficient to provide mechanical stability to at least a portion of the assembly. The conductive structure may include a first conductive material over a second conductive material, and may be a source line of a three-dimensional NAND configuration. Some embodiments include methods of forming assemblies to have channel material structures and anchor structures.
    Type: Grant
    Filed: December 15, 2017
    Date of Patent: October 15, 2019
    Assignee: Micron Technology, Inc.
    Inventors: Kunal R. Parekh, Justin B. Dorhout, Nancy M. Lomeli
  • Patent number: 10410911
    Abstract: A method of fabricating a semiconductor device includes forming a buried insulation region within a substrate by processing the substrate using etching and deposition processes. A semiconductor layer is formed over the buried insulation region at a first side of the substrate. Device regions are formed in the semiconductor layer. The substrate is thinned from a second side of the substrate to expose the buried insulation region. The buried insulation region is selectively removed to expose a bottom surface of the substrate. A conductive region is formed under the bottom surface of the substrate.
    Type: Grant
    Filed: December 6, 2017
    Date of Patent: September 10, 2019
    Assignee: INFINEON TECHNOLOGIES AG
    Inventors: Carsten Schaeffer, Andreas Moser, Matthias Kuenle, Matteo Dainese, Roland Rupp, Hans-Joachim Schulze
  • Patent number: 10403553
    Abstract: A method for manufacturing a semiconductor device includes providing a semiconductor substrate, forming a high dielectric constant (high-k) gate dielectric layer on the semiconductor substrate, the high-k gate dielectric layer including a nitrided surface that has been subjected to a nitriding treatment or an oxidized surface that has been subjected to an oxidizing treatment, forming a metal gate on the nitrided surface of the high-k gate dielectric layer to form an NMOS transistor, or forming a metal gate on the oxidized surface of the high-k gate dielectric layer to form a PMOS transistor.
    Type: Grant
    Filed: January 16, 2018
    Date of Patent: September 3, 2019
    Assignees: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION, SEMICONDUCTOR MANUFACTURING INTERNATIONAL (BEIJING) CORPORATION
    Inventor: Qingchun Zhang
  • Patent number: 10381259
    Abstract: A method of fabricating a semiconductor structure includes forming an isolation feature in a substrate, removing a portion of the isolation feature and a portion of the substrate underneath the removed portion of the isolation feature to form a trench in the substrate, and forming a trapping feature around a bottom portion of the trench. A first sidewall and a second sidewall of the trench are in direct contact with the isolation feature, and a bottom surface of the trench is below a bottom surface of the isolation feature.
    Type: Grant
    Filed: June 5, 2017
    Date of Patent: August 13, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Alex Kalnitsky, Chih-Wen Yao, Jun Cai, Ruey-Hsin Liu, Hsiao-Chin Tuan
  • Patent number: 10304789
    Abstract: In an embodiment, a method includes forming a first opening in a front surface of a semiconductor substrate including a LDMOS transistor structure, and covering the first opening with a first layer to form an enclosed cavity defined by material of the semiconductor substrate and the first layer. The material of the first layer lines sidewalls of the enclosed cavity.
    Type: Grant
    Filed: May 22, 2018
    Date of Patent: May 28, 2019
    Assignee: Infineon Technologies AG
    Inventors: Helmut Brech, Albert Birner, Matthias Zigldrum, Michaela Braun, Jan Ropohl
  • Patent number: 10290493
    Abstract: The present invention relates to a method for forming a silicon-containing thin film using a chlorosilane compound represented by SinCl2n+2 (wherein, n is an integer of from about 3 to about 10), and a high-quality silicon nitride thin film can be formed to a uniform thickness on a surface including a protrusion or recess having a high aspect ratio by an atomic layer deposition method using an ammonia gas at a low temperature of particularly about 560° C. or less.
    Type: Grant
    Filed: May 5, 2015
    Date of Patent: May 14, 2019
    Assignee: UP CHEMICAL CO., LTD.
    Inventors: Won Seok Han, Won Yong Koh
  • Patent number: 10217812
    Abstract: A silicon-on-insulator device having multiple crystal orientations is disclosed. In one embodiment, the silicon-on-insulator device includes a substrate layer, an insulating layer disposed on the substrate layer, a first silicon layer, and a strained silicon layer. The first silicon layer has a first crystal orientation and is disposed on a portion of the insulating layer, and the strained silicon layer is disposed on another portion of the insulating layer and has a crystal orientation different from the first crystal orientation.
    Type: Grant
    Filed: November 27, 2012
    Date of Patent: February 26, 2019
    Assignee: Infineon Technologies AG
    Inventors: Armin Tilke, Jiang Yan, Matthias Hierlemann
  • Patent number: 10211107
    Abstract: A method of fabricating fins includes providing a silicon substrate. The silicon substrate is etched to form numerous fin elements. A surface of each of the fin elements is silicon. Etch residues are formed on the fin elements after the silicon substrate is etched. After that, a flush step is performed on the fin elements by flushing the surface of each of the fin elements with fluorocarbons. The etch residues on the fin elements are removed by the flush step. After the flush step, a strip step is performed on the fin elements by treating the surface of each of the fin elements with oxygen plasma.
    Type: Grant
    Filed: September 10, 2017
    Date of Patent: February 19, 2019
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Chih-Yi Wang, Tien-Shan Hsu, Yu-Chih Su, Chi-Hsuan Cheng, Cheng-Pu Chiu, Te-Chang Hsu, Chin-Yang Hsieh, An-Chi Liu, Kuan-Lin Chen, Yao-Jhan Wang
  • Patent number: 10211216
    Abstract: A semiconductor device includes a semiconductor substrate including a main surface, a plurality of first projecting portions which include portions of the semiconductor substrate provided in a first region of the semiconductor substrate to extend in a first direction along the main surface of the semiconductor substrate and to be spaced apart from each other in a second direction, orthogonal to the first direction, along the main surface of the semiconductor substrate, a first isolation region provided between the first projecting portions adjacent to each other, and first and second transistors provided in and over an upper part of each of the first projecting portions which is exposed from an upper surface of the first isolation region to be adjacent to each other in the first direction.
    Type: Grant
    Filed: April 24, 2018
    Date of Patent: February 19, 2019
    Assignee: RENESAS ELECTRONICS CORPORATION
    Inventor: Shibun Tsuda
  • Patent number: 10163638
    Abstract: High-voltage semiconductor devices are provided. The high-voltage semiconductor device includes a substrate having a first conductive type and an epitaxial layer having a second conductive type disposed on the substrate. The epitaxial layer includes a high-voltage unit, a low-voltage unit disposed around the high-voltage unit and a level-shift unit disposed between the high-voltage unit and the low-voltage unit. The level-shift unit includes a source region, a drain region having disposed between the source region and the high-voltage unit, wherein the drain region is electrically connected to the high-voltage unit by a drain electrode disposed above the drain region. The level unit includes a gate electrode disposed between the source region and the drain region. The high-voltage semiconductor device also includes an isolation structure disposed between the high-voltage unit and the low-voltage unit, and the isolation structure is disposed directly under the drain electrode.
    Type: Grant
    Filed: July 3, 2017
    Date of Patent: December 25, 2018
    Assignee: NUVOTON TECHNOLOGY CORPORATION
    Inventors: Vivek Ningaraju, Po-An Chen
  • Patent number: 10157949
    Abstract: An optical isolation structure and a method for fabricating the same are provided. The optical isolation structure includes a first dielectric layer, a second dielectric layer, a third dielectric layer and a dielectric post. The first dielectric layer includes a trench portion located in a trench of the semiconductor substrate. The second dielectric layer includes a trench portion covering the trench portion of the first dielectric layer and located in the trench of the semiconductor substrate. The third dielectric layer includes a trench portion covering the trench portion of the second dielectric layer and located in the trench of the semiconductor substrate. The dielectric post is disposed in the trench of the semiconductor substrate and covering the trench portion of the third dielectric layer.
    Type: Grant
    Filed: March 14, 2017
    Date of Patent: December 18, 2018
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Yun-Wei Cheng, Chun-Wei Chia, Chun-Hao Chou, Kuo-Cheng Lee, Hsun-Ying Huang
  • Patent number: 10141306
    Abstract: To avoid the problems associated with low density spin on dielectrics, some examples of the disclosure include a finFET with an oxide material having different densities. For example, one such finFET may include an oxide material located in a gap between adjacent fins, the oxide material directly contacts the adjacent fins of the plurality of fins with a first density proximate to a top layer of the oxide material and a second density proximate to a bottom layer of the oxide material and wherein the first density is greater than the second density.
    Type: Grant
    Filed: January 27, 2017
    Date of Patent: November 27, 2018
    Assignee: QUALCOMM Incorporated
    Inventors: Yanxiang Liu, Haining Yang
  • Patent number: 10134735
    Abstract: A heterogeneously integrated semiconductor devices includes a base substrate; a Ge-containing film formed on the base substrate; a PMOSFET transistor having a first fin formed on the Ge-containing film; and a NMOSFET transistor having a second fin formed on the Ge-containing film; wherein the PMOSFET transistor and the NMOSFET transistor compose a CMOS transistor, and the first fin comprises Ge-containing material and the second fin comprises a Group III-V compound.
    Type: Grant
    Filed: June 26, 2017
    Date of Patent: November 20, 2018
    Assignees: National Applied Research Laboratories, EPISTAR Corporation
    Inventors: Shih-Pang Chang, Guang-Li Luo, Szu-Hung Chen, Wen-Kuan Yeh, Jen-Inn Chyi, Meng-Yang Chen, Rong-Ren Lee, Shih-Chang Lee, Ta-Cheng Hsu
  • Patent number: 10128233
    Abstract: A semiconductor device includes a first structure component comprising a first transistor, a first dummy pattern, a second structure component comprising a second transistor and a second dummy pattern. The first structure component and the first dummy pattern have a first height, and the second structure component and the second dummy pattern have a second height lower than the first height.
    Type: Grant
    Filed: August 19, 2016
    Date of Patent: November 13, 2018
    Assignee: Synaptics Japan GK
    Inventors: Masashi Oura, Yasuhiro Fujii
  • Patent number: 10109626
    Abstract: To provide a semiconductor device having an element isolation structure formed in the main surface of a semiconductor substrate, having a space in a trench, and prevented from having deteriorated performance due to an increase in the height of the top portion of the space. A trench portion is formed in the main surface of a semiconductor substrate by using a hard-mask insulating film. A first insulating film that covers the upper surface of the hard-mask insulating film and the surface of the trench portion is formed, followed by etch-back of the first insulating film to expose the upper surface of the hard-mask insulating film. Then, second and third insulating films that cover the upper surface of the hard-mask insulating film and the surface of the trench portion are formed to form a space in the trench portion.
    Type: Grant
    Filed: March 10, 2017
    Date of Patent: October 23, 2018
    Assignee: Renesas Electronics Corporation
    Inventor: Masaaki Shinohara
  • Patent number: 10103142
    Abstract: Integrated circuit devices are provided. The devices may include first and second fin-shaped channel regions protruding from a substrate, and the first and second fin-shaped channel regions may define a recess therebetween. The devices may also include an isolation layer in a lower portion of the recess. The isolation layer may include a first stress liner extending along a side of the first fin-shaped channel region, a second stress liner extending along a side of the second fin-shaped channel region and an insulation liner between the first stress liner and the side of the first fin-shaped channel region and between the second stress liner and the side of the second fin-shaped channel region. The devices may further include a gate insulation layer on surfaces of upper portions of the first and second fin-shaped channel regions and a gate electrode layer on the gate insulation layer.
    Type: Grant
    Filed: March 22, 2016
    Date of Patent: October 16, 2018
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sug-hyun Sung, Jung-gun You, Gi-gwan Park
  • Patent number: 10090299
    Abstract: An integrated circuit with transistor regions formed on a substrate. Each transistor region includes a channel region and a terminal region. The channel region is positioned along a traverse dimension, and it includes a channel edge region along a longitudinal dimension. The terminal region is positioned adjacent to the channel region, and it is doped with a first dopant of a first conductivity type. Each transistor region may include an edge block region, which is positioned along the longitudinal dimension and adjacent to the channel edge region. The edge block region is doped with a second dopant of a second conductivity type opposite to the first conductivity type. The channel region doped with a dopant and having a first doping concentration. Each transistor region may include an edge recovery region overlapping with the channel edge region and having a second doping concentration higher than the first doping concentration.
    Type: Grant
    Filed: January 9, 2018
    Date of Patent: October 2, 2018
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Xiaoju Wu, C. Matthew Thompson
  • Patent number: 10038093
    Abstract: An integrated circuit device includes a fin-type active area protruding from a substrate; a plurality of liners sequentially covering lower side walls of the fin-type active area; a device isolation layer covering the lower side walls of the fin-type active area with the plurality of liners between the device isolation layer and the fin-type active area; and a gate insulating layer extending to cover a channel region of the fin-type active area, the plurality of liners, and the device isolation layer, and including protrusions located on portions of the gate insulating layer which cover the plurality of liners.
    Type: Grant
    Filed: July 29, 2016
    Date of Patent: July 31, 2018
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sug-Hyun Sung, Jung-gun You, Gi-gwan Park, Ki-il Kim
  • Patent number: 10032663
    Abstract: A method for fabricating an integrated circuit (IC) includes etching trenches into a semiconductor surface of a substrate that has a mask thereon. Trench implanting using an angled implant then forms doped sidewalls of the trenches. Furnace annealing after trench implanting includes a ramp-up portion to a maximum peak temperature range of at least 975° C. and ramp-down portion, wherein the ramp-up portion is performed in a non-oxidizing ambient for at least a 100° C. temperature ramp portion with an O2 flow being less than 0.1 standard liter per minute (SLM). The sidewalls and a bottom of the trench are thermally oxidized to form a liner oxide after furnace annealing to form dielectric lined trenches. The dielectric lined trenches are filled with a fill material, and overburden portions of the fill material are then removed to form filled trenches.
    Type: Grant
    Filed: May 24, 2017
    Date of Patent: July 24, 2018
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Bradley David Sucher, Bernard John Fischer, Abbas Ali
  • Patent number: 10026613
    Abstract: Embodiments of the present disclosure relate to reducing dislocation density in a heteroepitaxial growth film and devices including heteroepitaxial films with reduced dislocation density. According to embodiments of the present disclosure, sidewalls of high aspect ratio trenches may be tilted or angled to allow defects in crystalline material formed in the high aspect ratio trenches to be terminated in the tilted sidewalls, including defects propagating along the length of the high aspect ratio trenches. Embodiments of the present disclosure may be used to reduce defects in heteroepitaxial growth on silicon (Si) for microelectronic applications, such as high mobility channels using Group III-V elements in field effect transistors.
    Type: Grant
    Filed: August 3, 2017
    Date of Patent: July 17, 2018
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Swaminathan T. Srinivasan, Fareen Adeni Khaja, Errol Antonio C. Sanchez, Patrick M. Martin
  • Patent number: 9984935
    Abstract: A method for providing a uniform recess depth between different fin gap sizes includes depositing a dielectric material between fins on a substrate. Etch lag is tuned for etching the dielectric material between narrow gaps faster than the dielectric material between wider gaps such that the dielectric material in the narrow gaps reaches a target depth. An etch block is formed in the narrow gaps. The wider gaps are etched to the target depth. The etch block is removed.
    Type: Grant
    Filed: May 1, 2017
    Date of Patent: May 29, 2018
    Assignee: International Business Machines Corporation
    Inventors: Benjamin D. Briggs, Lawrence A. Clevenger, Michael Rizzolo, Jay W. Strane
  • Patent number: 9985043
    Abstract: An improvement is achieved in the reliability of a semiconductor device. In a memory cell region, a plurality of fins are provided which are portions of a semiconductor substrate extending in an x-direction along a main surface of the semiconductor substrate and spaced apart from each other in a y-direction orthogonal to the x-direction along the main surface of the semiconductor substrate. Between the fins adjacent to each other in the y-direction, a portion of an upper surface of an isolation region is at a position higher than a surface obtained by connecting a position of the upper surface of the isolation region which is in contact with a side wall of one of the fins to a position of the upper surface of the isolation region which is in contact with a side wall of the other fin. In a cross section along the y-direction, the upper surface of the isolation region has a projecting shape.
    Type: Grant
    Filed: April 27, 2017
    Date of Patent: May 29, 2018
    Assignee: RENESAS ELECTRONICS CORPORATION
    Inventor: Shibun Tsuda
  • Patent number: 9949358
    Abstract: A circuit board includes a base layer, a circuit layer disposed on the base layer, where an air gap is defined in the circuit layer, a heat blocking part disposed in the air gap, and an electronic element disposed on the circuit layer. The heat blocking part has a thermal conductivity lower than a thermal conductivity of the circuit layer.
    Type: Grant
    Filed: August 1, 2016
    Date of Patent: April 17, 2018
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Siyoung Choi, Moonshik Kang, Jeonghun Go, Yongsoon Lee
  • Patent number: 9945028
    Abstract: A method of filling a recess with a nitride film is performed by repeating a cycle. The cycle includes a film-forming raw material gas adsorption process of adsorbing a raw material gas containing an element forming the nitride film to be formed on a target substrate on which the recess is formed on its surface, and a nitriding process of nitriding the adsorbed raw material gas by nitriding species to fill the recess. At least a portion of a period for forming the nitride film is used as a bottom-up growth period, for which a polymer material adsorbable to the surface of the target substrate is supplied in a gaseous state and is adsorbed to an upper portion of the recess to inhibit adsorption of the film-forming raw material gas, and for which the nitride film is grown from a bottom portion of the recess.
    Type: Grant
    Filed: April 28, 2017
    Date of Patent: April 17, 2018
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Akira Shimizu
  • Patent number: 9902873
    Abstract: A composition for forming a silica based layer and a method for manufacturing a silica based layer, the composition including a silicon-containing compound, the silicon-containing compound including a hydrogenated polysilazane moiety, a hydrogenated polysiloxazane moiety, or a combination thereof, and a solvent, wherein a number of particles of the silicon-containing compound in the composition and having a particle diameter of about 0.2 ?m to about 1 ?m is less than or equal to about 10/ml.
    Type: Grant
    Filed: December 5, 2014
    Date of Patent: February 27, 2018
    Assignee: SAMSUNG SDI CO., LTD.
    Inventors: Jin-Hee Bae, Taek-Soo Kwak, Han-Song Lee, Youn-Jin Cho, Byeong-Gyu Hwang, Bo-Sun Kim, Sae-Mi Park, Eun-Su Park, Jin-Woo Seo, Wan-Hee Lim, Jun-Young Jang, Kwen-Woo Han
  • Patent number: 9876073
    Abstract: This invention discloses a method for manufacturing a semiconductor power device in a semiconductor substrate comprises an active cell area and a termination area.
    Type: Grant
    Filed: April 5, 2017
    Date of Patent: January 23, 2018
    Assignee: Alpha and Omega Semiconductor Incorporated
    Inventors: Lingpeng Guan, Anup Bhalla, Madhur Bobde, Tinggang Zhu
  • Patent number: 9865683
    Abstract: An electronic device includes a semiconductor memory unit that includes: a gate including at least a portion buried in a substrate; a junction portion formed in the substrate on both sides of the gate; and a memory element coupled with the junction portion on one side of the gate, wherein the junction portion includes: a recess having a bottom surface protruded in a pyramid shape; an impurity region formed in the substrate and under the recess; and a contact pad formed in the recess.
    Type: Grant
    Filed: January 2, 2015
    Date of Patent: January 9, 2018
    Assignee: SK hynix Inc.
    Inventor: Hyung-Suk Lee
  • Patent number: 9865700
    Abstract: A MOS P-N junction diode includes a semiconductor substrate, a mask layer, a guard ring, a gate oxide layer, a polysilicon structure, a central conductive layer, a silicon nitride layer, a metal diffusion layer, a channel region, and a metal sputtering layer. For manufacturing the MOS P-N junction diode, a mask layer is formed on a semiconductor substrate. A gate oxide layer is formed on the semiconductor substrate, and a polysilicon structure is formed on the gate oxide layer. A guard ring, a central conductive layer and a channel region are formed in the semiconductor substrate. A silicon nitride layer is formed on the central conductive layer. A metal diffusion layer is formed within the guard ring and the central conductive layer. Afterwards, a metal sputtering layer is formed, and the mask layer is partially exposed.
    Type: Grant
    Filed: January 24, 2017
    Date of Patent: January 9, 2018
    Assignee: PFC DEVICE HOLDINGS LTD
    Inventors: Hung-Hsin Kuo, Mei-Ling Chen
  • Patent number: 9852902
    Abstract: Ion species are supplied to a workpiece comprising a pattern layer over a substrate. A material layer is deposited on the pattern layer using an implantation process of the ion species. In one embodiment, the deposited material layer has an etch selectivity to the pattern layer. In one embodiment, a trench is formed on the pattern layer. The trench comprises a bottom and a sidewall. The material layer is deposited into the trench using the ion implantation process. The material layer is deposited on the bottom of the trench in a direction along the sidewall.
    Type: Grant
    Filed: October 3, 2014
    Date of Patent: December 26, 2017
    Assignee: Applied Materials, Inc.
    Inventors: Jun Xue, Ludovic Godet, Martin A. Hilkene, Matthew D. Scotney-Castle
  • Patent number: 9786507
    Abstract: Disclosed are field effect transistor (FET) formation methods using a final gate cut process and the resulting structures. One method forms an elongated gate across first and second semiconductor bodies for first and second FETs, respectively. An opening is formed in a portion of the elongated gate between the semiconductor bodies, cutting at least the gate conductor layer. The opening is filled with an isolation layer, thereby forming an isolation region that segments the elongated gate into first and second gates for the first and second FETs, respectively. Another method forms at least three gates across an elongated semiconductor body. An isolation region is formed that extends, not only through a portion of a center one of the gates, but also through a corresponding portion of the elongated semiconductor body adjacent to that gate, thereby segmenting the elongated semiconductor body into discrete semiconductor bodies for first and second FETs.
    Type: Grant
    Filed: April 21, 2016
    Date of Patent: October 10, 2017
    Assignee: International Business Machines Corporation
    Inventors: Brent A. Anderson, Edward J. Nowak
  • Patent number: 9768055
    Abstract: An electronic device may include a substrate, a buried oxide (BOX) layer overlying the substrate, at least one semiconductor device overlying the BOX layer, and at least one shallow trench isolation (STI) region in the substrate and adjacent the at least one semiconductor device. The at least one STI region defines a sidewall surface with the substrate and may include an oxide layer lining a bottom portion of the sidewall surface, a nitride layer lining a top portion of the sidewall surface above the bottom portion, and an insulating material within the nitride and oxide layers.
    Type: Grant
    Filed: August 21, 2012
    Date of Patent: September 19, 2017
    Assignees: STMICROELECTRONICS, INC., INTERNATIONAL BUSINESS MACHINES CORPORATION, COMMISSASRIAT À L'ÉNERGIE ATOMIQUE ET AUX ÉNERGIES ALTERNATIVES, (CEA)
    Inventors: Qing Liu, Nicolas Loubet, Prasanna Khare, Shom Ponoth, Maud Vinet, Bruce Doris
  • Patent number: 9754993
    Abstract: A method includes performing an anisotropic etching on a semiconductor substrate to form a trench. The trench has vertical sidewalls and a rounded bottom connected to the vertical sidewalls. A damage removal step is performed to remove a surface layer of the semiconductor substrate, with the surface layer exposed to the trench. The rounded bottom of the trench is etched to form a slant straight bottom surface. The trench is filled to form a trench isolation region in the trench.
    Type: Grant
    Filed: August 31, 2015
    Date of Patent: September 5, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Cheng-Hsien Chou, Hsiao-Hui Tseng, Chih-Yu Lai, Shih Pei Chou, Yen-Ting Chiang, Min-Ying Tsai
  • Patent number: 9735047
    Abstract: A semiconductor device includes: a substrate, a gate structure on the substrate, and a spacer adjacent to the gate structure, in which the spacer extends to a top surface of the gate structure, a top surface of the spacer includes a planar surface, the spacer encloses an air gap, and the spacer is composed of a single material. The gate structure includes a high-k dielectric layer, a work function metal layer, and a low resistance metal layer, in which the high-k dielectric layer is U-shaped. The semiconductor device also includes an interlayer dielectric (ILD) layer around the gate structure and a hard mask on the spacer, in which the top surface of the hard mask is even with the top surface of the ILD layer.
    Type: Grant
    Filed: June 3, 2016
    Date of Patent: August 15, 2017
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Ching-Yu Chang, Ssu-I Fu, Yu-Hsiang Hung, Chih-Kai Hsu, Wei-Chi Cheng, Jyh-Shyang Jenq
  • Patent number: 9698090
    Abstract: A semiconductor substrate is disclosed. The semiconductor substrate includes a substrate body having at least an opening formed on a surface thereof, wherein the surface of the substrate body and a wall of the opening are made of an insulating material; and a circuit layer formed on the surface of the substrate body, wherein the circuit layer covers an end of the opening and is electrically insulated from the opening. The opening facilitates to increase the thickness of the insulating structure between the circuit layer and the substrate body of a silicon material to prevent signal degradation when high frequency signals are applied to the circuit layer.
    Type: Grant
    Filed: January 30, 2013
    Date of Patent: July 4, 2017
    Assignee: Siliconware Precision Industries Co., Ltd.
    Inventors: Bo-Shiang Fang, Ho-Chuan Lin, Chia-Chu Lai, Min-Han Chuang, Li-Fang Lin
  • Patent number: 9691750
    Abstract: In some embodiments, a semiconductor device comprises a first active region, a second active region, and a conductive metal structure. The second active region is separate from the first active region. The conductive metal structure is arranged to connect the first active region and the second active region. The conductive metal structure includes a first leg, a second leg and a body. The second leg is separate from the first leg and a body extending between and connecting the first leg and the second leg.
    Type: Grant
    Filed: January 30, 2015
    Date of Patent: June 27, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Ting-Wei Chou, Wen-Lang Wu, Chitong Chen, Shun Li Chen, Ting-Wei Chiang, Li-Chun Tien
  • Patent number: 9663354
    Abstract: According to a method in semiconductor device fabrication, a first trench and a second trench are concurrently etched in a semi-finished semiconductor device. The first trench is a mechanical decoupling trench between a first region of an eventual semiconductor device and a second region thereof. The method further includes concurrently passivating or insulating sidewalls of the first trench and of the second trench. A related semiconductor device includes a first trench configured to provide a mechanical decoupling between a first region and a second region of the semiconductor device. The semiconductor device further includes a second trench and a sidewall coating at sidewalls of the first trench and the second trench. The sidewall coating at the sidewalls of the first trench and at the sidewalls of the second trench are of the same material.
    Type: Grant
    Filed: May 14, 2014
    Date of Patent: May 30, 2017
    Assignee: Infineon Technologies AG
    Inventors: Steffen Bieselt, Dirk Meinhold
  • Patent number: 9627475
    Abstract: A structure and method for fabricating a spacer structure for semiconductor devices, such as a multi-gate structure, is provided. The dummy gate structure is formed by depositing a dielectric layer, forming a mask over the dielectric layer, and patterning the dielectric layer. The mask is formed to have a tapered edge. In an embodiment, the tapered edge is formed in a post-patterning process, such as a baking process. In another embodiment, a relatively thick mask layer is utilized such that during patterning a tapered results. The profile of the tapered mask is transferred to the dielectric layer, thereby providing a tapered edge on the dielectric layer.
    Type: Grant
    Filed: September 14, 2015
    Date of Patent: April 18, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shih-Chi Fu, Chien-Chih Chou
  • Patent number: 9620584
    Abstract: This invention discloses a method for manufacturing a semiconductor power device in a semiconductor substrate comprises an active cell area and a termination area.
    Type: Grant
    Filed: August 7, 2014
    Date of Patent: April 11, 2017
    Assignee: Alpha and Omega Semiconductor Incorporated
    Inventors: Lingpeng Guan, Anup Bhalla, Madhur Bobde, Tinggang Zhu
  • Patent number: 9613181
    Abstract: A semiconductor device structure includes a semiconductor substrate with an active region provided therein, a gate structure, a dummy gate structure and two contact regions provided in the active region for forming source and drain regions. The gate structure and the dummy gate structure are formed on the semiconductor substrate so as to partially overlie the active region, and one of the contact regions is located at one side of the dummy gate structure. The semiconductor device structure includes a contact structure contacting one of the contact regions and the dummy gate for connecting this contact region and the dummy gate to one of a Vdd rail and a Vss rail. The active region has an extension portion protruding laterally away from the active region relative to the other contact region, where the contact structure is located over the extension portion.
    Type: Grant
    Filed: May 22, 2015
    Date of Patent: April 4, 2017
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Ricardo Pablo. Mikalo, Joachim Deppe
  • Patent number: 9595557
    Abstract: The present technology relates to a solid-state imaging device, manufacturing method of a solid-state imaging device, and an electronic device, which can provide a solid-state imaging device having further improved features such as reduced optical color mixing and the like. Also, an electronic device using the solid-state imaging device thereof is provided. According to a solid-state imaging device having a substrate and multiple photoelectric converters that are formed on the substrate, an insulating film forms an embedded element separating unit. The element separating unit is configured of an insulating film having a fixed charge that is formed so as to coat the inner wall face of a groove portion, within the groove portion which is formed in the depth direction from the light input side of the substrate.
    Type: Grant
    Filed: July 28, 2016
    Date of Patent: March 14, 2017
    Assignee: Sony Corporation
    Inventors: Takeshi Yanagita, Itaru Oshiyama, Takayuki Enomoto, Harumi Ikeda, Shinichiro Izawa, Atsuhiko Yamamoto, Kazunobu Ota
  • Patent number: 9576875
    Abstract: A method for manufacturing a chip arrangement is provided, the method including: forming a hole in a carrier including at least one chip, wherein forming a hole in the carrier includes: selectively removing carrier material, thereby forming a cavity in the carrier, forming passivation material over one or more cavity walls exposed by the selective removal of the carrier material; selectively removing a portion of the passivation material and further carrier material exposed by the selective removal of the passivation material, wherein a further portion of the passivation material remains over at least one cavity side wall; the method further including subsequently forming a layer over the further portion of passivation material remaining over the at least one cavity side wall.
    Type: Grant
    Filed: January 7, 2015
    Date of Patent: February 21, 2017
    Assignee: INFINEON TECHNOLOGIES AG
    Inventors: Reinhard Hess, Katharina Umminger, Gabriel Maier, Markus Menath, Gunther Mackh, Hannes Eder, Alexander Heinrich
  • Patent number: 9570340
    Abstract: The invention provides a method of etching a crystalline semiconductor material (114), the method being characterized in that it comprises: at least one ion implantation performed by implanting a plurality of ions (121) in at least one volume (113) of the semiconductor material (114) in such a manner as to make the semiconductor material amorphous in the at least one implanted volume (113), and as to keep the semiconductor material (114) in a crystalline state outside (112) the at least one implanted volume (113); and at least one chemical etching for selectively etching the amorphous semiconductor material relative to the crystalline semiconductor material, so as to remove the semiconductor material in the at least one volume (113) and so as to keep the semiconductor material outside (112) the at least one volume (113).
    Type: Grant
    Filed: September 4, 2013
    Date of Patent: February 14, 2017
    Assignee: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
    Inventors: Laurent Grenouillet, Maud Vinet, Romain Wacquez
  • Patent number: 9558989
    Abstract: After embedding a silicon oxide film within a second trench that opens in a semiconductor substrate using a silicon nitride film as a hard mask, the silicon oxide film over the silicon nitride film is polished, and then, wet etching is performed before a step for removing the silicon nitride film, and thereby the upper surface of the silicon oxide film within a first trench opened in the silicon nitride film is retreated.
    Type: Grant
    Filed: July 10, 2015
    Date of Patent: January 31, 2017
    Assignee: Renesas Electronics Corporation
    Inventors: Tomohiko Aika, Hajime Suzuki, Naoki Fujita
  • Patent number: 9559163
    Abstract: The invention includes semiconductor constructions having trenched isolation regions. The trenches of the trenched isolation regions can include narrow bottom portions and upper wide portions over the bottom portions. Electrically insulative material can fill the upper wide portions while leaving voids within the narrow bottom portions. The trenched isolation regions can be incorporated into a memory array, and/or can be incorporated into an electronic system. The invention also includes methods of forming semiconductor constructions.
    Type: Grant
    Filed: September 8, 2014
    Date of Patent: January 31, 2017
    Assignee: Micron Technology, Inc.
    Inventors: Zailong Bian, Janos Fucsko