At Least One Layer Of Silicon Nitride Patents (Class 257/640)
  • Patent number: 6300252
    Abstract: A method is provided for etching fuse windows through a passivation layer and at least two inter-metal dielectric layers that are deposited on top of a fuse when the fuse is embedded in an insulating material including a top layer of silicon nitride on a semi-conducting substrate. The method can be carried out by a two-step etching process in which an opening is first etched for the fuse window through a passivation layer by a first etchant that has low selectivity to the passivation material, and then the opening is etched through the IMD layers in a second etching process by a second etchant which has high selectivity to the silicon nitride etch-stop layer. The two-step etching process can be easily controlled so that the quality and yield for the resulting fuse windows can be improved.
    Type: Grant
    Filed: October 1, 1999
    Date of Patent: October 9, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd
    Inventors: Shulan Ying, Shu-Chi Hung
  • Publication number: 20010019164
    Abstract: A method for fabricating a substantially smooth-surfaced anti-reflective coating on a semiconductor device structure including generating a plasma from an inert gas in a process chamber in which the anti-reflective coating is to be deposited. The anti-reflective coating may include silicon, oxygen and nitrogen, and is preferably of the general formula SixOyNz, where x equals 0.40 to 0.65, y equals 0.02 to 0.56 and z equals 0.05 to 0.33. Preferably, x+y+z equals one. The method may also include fabricating a silicon nitride layer over the anti-reflective coating. A semiconductor device which includes a silicon nitride layer over the anti-reflective coating has a density of less than about 40,000 particles or surface roughness features in the silicon nitride of about 120-150 nanometers dimension per eight inch wafer. Accordingly, a mask that is subsequently formed over the silicon nitride layer has a substantially uniform thickness and is substantially distortion-free.
    Type: Application
    Filed: March 28, 2001
    Publication date: September 6, 2001
    Inventor: Zhiping Yin
  • Patent number: 6278189
    Abstract: A method for fabricating contact holes in high density integrated circuits and the resulting structure are disclosed. It is shown that by judiciously integrating the process of forming shallow tapered holes with self-alignment techniques, self-aligned holes can be fabricated with reduced number of masking process steps. This is accomplished by first forming shallow tapered holes to a certain depth over certain regions in a substrate by means of isotropic etching and then extending them by anisotropic etching to full depth corresponding to the regions they are allowed to contact. The net result is a whole set of holes which are self-aligned and which are formed by means of a single photoresist mask.
    Type: Grant
    Filed: October 28, 1999
    Date of Patent: August 21, 2001
    Assignee: Vanguard International Semiconductor Corporation
    Inventors: Erik S. Jeng, Fu-Liang Yang, Tzu-Shih Yen
  • Publication number: 20010013638
    Abstract: A method for reactive ion etching of SiO2 and an etch stop barrier for use in such an etching is provided. A silicon nitride (SixNy) barrier having a Six to Ny ratio (x:y) of less than about 0.8 and preferably the stoichiometric amount of 0.75 provides excellent resilience to positive mobile ion contamination, but poor etch selectivity. However, a silicon nitride barrier having a ratio of Six to Nx (x:y) of 1.0 or greater has excellent etch selectivity with respect to SiO2 but a poor barrier to positive mobile ion contamination. A barrier of silicon nitride is formed on a doped silicon substrate which barrier has two sections. One section has a greater etch selectivity with respect to silicon dioxide than the second section and the second section has a greater resistance to transmission of positive mobile ions than the first section. One section adjacent the silicon substrate has a silicon to nitrogen ratio of less than about 0.8.
    Type: Application
    Filed: February 26, 1998
    Publication date: August 16, 2001
    Inventors: CHUNG HON LAM, ERIC SEUNG LEE, FRANCIS ROGER WHITE
  • Patent number: 6274919
    Abstract: An LSI semiconductor device having a device isolation structure and a method of fabricating the isolation structure are presented. The device is a buried-type field-shielding device which is fabricated in non-active regions of the LSI circuit, and includes field-shield insulator film formed on the interior walls of trench cavities formed on the substrate and the field-shield electrodes buried within the trench cavity. Unlike the conventional buried-type isolation devices, the top surface of present isolation structure is level with the upper surface of the substrate. Therefore, this device structure utilizes the interior space of the substrate rather than the surface area of the substrate as in the conventional field-shield isolation structure.
    Type: Grant
    Filed: July 14, 1998
    Date of Patent: August 14, 2001
    Assignee: Nippon Steel Semiconductor Corporation
    Inventor: Toshio Wada
  • Publication number: 20010012655
    Abstract: A bipolar transistor of type NPN has an active region at the surface of the component, which is surrounded, as seen along the surface of the component, in the conventional way by thick field oxide areas. The active region is partly covered by an electrically isolating surface layer, preferably comprising a nitride layer. A base region in the active region is defined by a well-defined opening, which is lithographically produced, in the electrically isolating surface layer. For a bipolar lateral transistor of type PNP, which instead has emitter and collector regions surrounded by such thick field oxide areas, the emitter and collector regions can in the corresponding way be defined by a lithographically defined opening in an electrically isolating surface layer. Owing to the well defined openings the base-collector capacitance and the emitter-collector capacitance respectively can be reduced in these cases, what results in better high frequency characteristics of the transistors.
    Type: Application
    Filed: July 13, 1998
    Publication date: August 9, 2001
    Inventors: HANS NORDSTOM, STEFAN NYGREN, OLA TYLSTEDT
  • Patent number: 6271593
    Abstract: A method and substrate structure for fabricating highly conductive components on microelectronic devices. In one embodiment in accordance with the principles of the present invention, a first dielectric layer is formed over a base layer of a substrate, a second dielectric layer is deposited onto the first dielectric layer, and a third dielectric layer is deposited onto the second dielectric layer. The first, second and third dielectric layers define a dielectric stratum in which the first and second dielectric layers may be selectively etchable from one another so that the second dielectric layer etches at a faster rate than the first layer in the presence of a selective etchant. After the dielectric layers are deposited onto the substrate, a void is etched through the second and third dielectric layers.
    Type: Grant
    Filed: August 27, 1999
    Date of Patent: August 7, 2001
    Assignee: Micron Technology, Inc.
    Inventors: John H. Givens, Richard H. Lane
  • Patent number: 6262456
    Abstract: An ultra-large-scale integrated (ULSI) circuit includes MOSFETs which have different threshold voltages and yet have the same channel characteristics. The MOSFETs include gate structures with a polysilicon material. The polysilicon material is implanted with lower concentrations of germanium where lower threshold voltage MOSFETs are required. Over a range of 0-60% concentration of germanium, the threshold voltage can be varied by roughly 240 mV.
    Type: Grant
    Filed: November 6, 1998
    Date of Patent: July 17, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Bin Yu, Ming-Ren Lin
  • Patent number: 6255717
    Abstract: Shallow trench isolation among transistors and other devices on a semiconductor substrate is provided by initially forming a layer of highly absorbing silicon rich nitride to serve as a hardmask between a semiconductor substrate and a photoresist. The highly absorbing layer of silicon rich nitride has an extinction coefficient (k)>0.5. As reflected light passes through the layer of silicon rich nitride, a substantially amount of light is absorbed therein thereby blocking such reflected light from negatively interfering with patterning of the photoresist during photolithography. Following patterning of the photoresist, isolation trenches are formed in the semiconductor substrate by etching through the silicon rich nitride in accordance with the pattern formed on the photoresist.
    Type: Grant
    Filed: November 25, 1998
    Date of Patent: July 3, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Carl P. Babcock, Jayendra D. Bhakta
  • Patent number: 6252297
    Abstract: An array substrate typically used in a liquid crystal display device includes inter-layer insulating films thick enough to prevent step-off breakage of conductive layers at contact holes while promising a reliability. Thick inter-layer insulating films are made by stacking a film made of an inorganic material, such as silicon nitride or silicon oxide, having a low moisture permeability and thereby promising a reliability of the liquid crystal display device, and a film made of an organic material, such as acrylic resin, that can be readily stacked thick so that the inner wall of the contact hole is gently sloped with respect to the substrate surface to thereby prevent step-off breakage of a conductive layer as thin as 100 nm or less.
    Type: Grant
    Filed: May 8, 2000
    Date of Patent: June 26, 2001
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masato Kemmochi, Masato Shoji
  • Patent number: 6252295
    Abstract: The adhesion of a silicon carbide containing film to a surface is enhanced by employing a transition film of silicon nitride, silicon dioxide and/or silicon oxynitride.
    Type: Grant
    Filed: June 19, 2000
    Date of Patent: June 26, 2001
    Assignee: International Business Machines Corporation
    Inventors: Donna R. Cote, Daniel C. Edelstein, John A. Fitzsimmons, Thomas H. Ivers, Paul C. Jamison, Ernest Levine
  • Patent number: 6246105
    Abstract: A semiconductor device having an insulation protection film with increased reliability and improved device characteristics, and a manufacturing method thereof which improves the planarization and reduces the interlayer capacitance of the device. The semiconductor device has a semiconductor substrate including a MOS device, a plurality of wiring regions formed on the semiconductor substrate, and a protective insulation film formed on the top layer of the wiring regions. The protective insulation film includes a first silicon oxide film, a second silicon oxide film formed on the first silicon oxide film, and a silicon nitride film composing the top layer.
    Type: Grant
    Filed: October 30, 1998
    Date of Patent: June 12, 2001
    Assignee: Seiko Epson Corporation
    Inventors: Yukio Morozumi, Takenori Asahi
  • Patent number: 6246076
    Abstract: A dielectric structure is disclosed for silicon carbide-based semiconductor devices. In gated devices, the structure includes a layer of silicon carbide, a layer of silicon dioxide on the silicon carbide layer, a layer of another insulating material on the silicon dioxide layer, with the insulating material having a dielectric constant higher than the dielectric constant of silicon dioxide, and a gate contact to the insulating material. In other devices the dielectric structure forms an enhanced passivation layer or field insulator.
    Type: Grant
    Filed: August 28, 1998
    Date of Patent: June 12, 2001
    Assignee: Cree, Inc.
    Inventors: Lori A. Lipkin, John Williams Palmour
  • Patent number: 6232218
    Abstract: A etch stop layer for use in a silicon oxide dry fluorine etch process is made of silicon nitride with hydrogen incorporated in it either in the form of N—H bonds, O—H bonds, or entrapped free hydrogen. The etch stop layer is made by either increasing the NH3 flow, decreasing the SiH4 flow, decreasing the nitrogen flow, or all three, in a standard PECVD silicon nitride fabrication process. The etch stop can alternatively be made by pulsing the RF field in either a PECVD process or an LPCVD process.
    Type: Grant
    Filed: August 19, 1999
    Date of Patent: May 15, 2001
    Assignee: Micron Technology, Inc.
    Inventors: David A. Cathey, J. Brett Rolfson, Valerie A. Ward, Karen M. Winchester
  • Patent number: 6232641
    Abstract: A semiconductor apparatus on which a MOS transistor having an elevated source and drain structure is formed is arranged to have a gate electrode which is formed on the surface of a silicon substrate through an insulating film. An elevated source film and an elevated drain film each having at least a surface portion constituted by a metal silicide film, being conductive and elevated over the surface of the silicon substrate are formed on a source region and a drain region on the surface of the silicon substrate. Thus, a MOS transistor having a structure in which the surfaces of the source region and the drain region are elevated over the surface of the silicon substrate is formed. A first gate-side-wall insulating film is formed on the side wall of the gate electrode of the MOS transistor and having a bottom surface formed apart from the surface of the silicon substrate.
    Type: Grant
    Filed: May 28, 1999
    Date of Patent: May 15, 2001
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kiyotaka Miyano, Ichiro Mizushima, Yoshitaka Tsunashima, Tomohiro Saito
  • Patent number: 6232663
    Abstract: A semiconductor device and a method of fabricating thereof, including an insulator layer having alternately layered insulator films and boundary layers, wherein the boundary layers are more dense than the insulator films to prevent expansion and elongation of string-like defects across the boundary layers. The method includes mixing a nitrogen containing gas and a silane group gas to form an insulator film; temporarily stopping a flow of the silane group gas for approximately one to fifteen seconds to form a boundary layer over the insulator film; restarting the flow of the silane group gas; and repeating the steps of temporarily stopping and restarting for a predetermined number of times to form the plurality of alternately layered insulator films and boundary layers. The plurality of alternately layered insulator films and boundary layers is also etched at an etching rate for the insulator films greater than an etching rate for the boundary layers to form a step-shaped sloped opening.
    Type: Grant
    Filed: August 1, 1997
    Date of Patent: May 15, 2001
    Assignees: Fujitsu Limited, Advanced Micro Devices, Inc., Fujitsu AMD, Semiconductor Limited
    Inventors: Toshio Taniguchi, Kenji Nukui, Ibrahim Burki, Richard Huang, Simon Chan, Kazunori Imaoka, Kazutoshi Mochizuki
  • Patent number: 6229192
    Abstract: A method of manufacturing a PIN (positive-intrinsic-negative) diode structure includes depositing an insulation or dielectric layer over the bottom PIN diode electrodes, prior to depositing the PIN semiconductor layers. The insulation layer results in a PIN diode structure with reduced leakage current, reduced RIE (reactive ion etching) chamber contamination, the reduction or elimination of post RIE processing, improved yields, and/or expands the potential materials that may be used for the bottom electrode. A corresponding PIN diode structure is also disclosed. The resulting PIN diode structures may be used in, for example, LCD (liquid crystal display) and solid state imager applications.
    Type: Grant
    Filed: January 27, 1998
    Date of Patent: May 8, 2001
    Assignee: Ois Optical Imaging Systems, Inc.
    Inventor: Tieer Gu
  • Patent number: 6225671
    Abstract: A method of fabricating a substantially smooth-surfaced anti-reflective coating on a semiconductor device structure including generating a plasma from an inert gas in a process chamber in which the substantially smooth anti-reflective coating is to be deposited. The anti-reflective coating may be a dielectric anti-reflective coating (DARC) which includes silicon, oxygen and nitrogen, and is preferably of the general formula SixOyNz, where x equals 0.40 to 0.65, y equals 0.02 to 0.56 and z equals 0.05 to 0.33. Preferably, x+y+z equals one. The method may also include fabricating a silicon nitride layer over the anti-reflective coating. A semiconductor device which includes a silicon nitride layer over an anti-reflective coating that has been fabricated in accordance with the inventive method has a density of less than about 40,000 particles or surface roughness features in the silicon nitride of about 120-150 nanometers dimension per eight inch wafer.
    Type: Grant
    Filed: March 29, 2000
    Date of Patent: May 1, 2001
    Assignee: Micron Technology, Inc.
    Inventor: Zhiping Yin
  • Patent number: 6222256
    Abstract: A first layer metal wire, an SiOF film and an F diffusion prevention film are formed on a surface of a base layer including a substrate, elements formed on the substrate and an insulator layer formed to cover the substrate and the elements. The F diffusion prevention film may be prepared from a silicon oxynitride film or a silicon oxide film containing Si—H bonds. A spacer film is formed on a surface of the F diffusion prevention film and its surface is flattened. A second layer metal wire is formed on a surface of the spacer film. Thus implemented is a semiconductor device comprising an F diffusion prevention film preventing F atoms contained in an SiOF film from diffusing into an upper metal wire with the F diffusion prevention film not etched in formation of the upper metal wire and a method of manufacturing a semiconductor device not directly polishing an SiOF film by CMP.
    Type: Grant
    Filed: July 26, 1999
    Date of Patent: April 24, 2001
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Masazumi Matsuura, Kinya Goto
  • Patent number: 6222257
    Abstract: A etch stop layer for use in a silicon oxide dry fluorine etch process is made of silicon nitride with hydrogen incorporated in it either in the form of N—H bonds, Si—H bonds, or entrapped free hydrogen. The etch stop layer is made by either increasing the NH3 flow, decreasing the SiH4 flow, decreasing the nitrogen flow, or all three, in a standard PECVD silicon nitride fabrication process. The etch stop can alternatively be made by pulsing the RF field in either a PECVD process or an LPCVD process.
    Type: Grant
    Filed: August 6, 1999
    Date of Patent: April 24, 2001
    Assignee: Micron Technology, Inc.
    Inventors: David A. Cathey, J. Brett Rolfson, Valerie A. Ward, Karen M. Winchester
  • Patent number: 6214702
    Abstract: Methods of forming semiconductor substrates include the steps of bonding a first semiconductor substrate to a second semiconductor substrate. The first semiconductor substrate has a first adhesion layer thereon extending opposite a first surface thereof and a first diffusion barrier layer extending between the first adhesion layer and the first surface. The second semiconductor substrate has a second adhesion layer thereon. The first diffusion barrier layer prevents impurities from within the first adhesion layer from diffusing directly into the first semiconductor substrate during subsequent thermal treatment steps (e.g., annealing). A second diffusion barrier layer is then formed to encapsulate the bonded wafers and the adhesion layers and diffusion barrier layer therebetween. The second diffusion barrier layer prevents impurities from within the adhesion layers from out-diffusing (from the lateral edges of the adhesion layers) during the subsequent thermal treatment steps (e.g., annealing steps).
    Type: Grant
    Filed: March 26, 1999
    Date of Patent: April 10, 2001
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Yun-Gi Kim
  • Patent number: 6214713
    Abstract: A method for forming the gate electrode in an integrated circuit, in which a cap silicon nitride layer is deposited in a two step process to improve the condition of silicon nitride residue remaining on the surface of tungsten silicide. First, a layer of polysilicon and a layer of tungsten silicide are sequentially formed on the semiconductor substrate, subsequently, a thin film of silicon nitride is formed at a first temperature and a second silicon nitride is formed at a second temperature, then the pattern of the contact window of gate is defined and the first etching is performed to remove the second and the second silicon nitride, finally, the second etching is performed to remove the layers of polysilicon and tungsten silicide to form a gate electrode.
    Type: Grant
    Filed: October 19, 1998
    Date of Patent: April 10, 2001
    Assignees: ProMOS Technology, Inc., Mosel Vitelic Inc, Siemens AG
    Inventor: J. S. Shiao
  • Patent number: 6215190
    Abstract: A borderless contact to diffusion with respect to gate conductor is provided by employing a double insulating film stack as a mask for defining the gate conductor shapes for the entire chip and providing a relatively thin damage preventing layer on exposed conductive layer following defining the gate conductor shapes. In one embodiment, a borderless contact is provided by forming an insulating layer on a substrate, providing a conductive layer on the insulating layer, providing a second insulating layer on the conductive layer, providing a third insulating layer on the second insulating layer, removing preselected portions of the second and third insulating layers, providing a damage preventing layer in those areas where the second and third insulating layers have been removed, removing preselected portions of the third insulating layer, removing the damage preventing layer, removing exposed portions of the conductive layer, and removing now exposed portions of the second insulating layer.
    Type: Grant
    Filed: May 12, 1998
    Date of Patent: April 10, 2001
    Assignee: International Business Machines Corporation
    Inventors: James Allen Bruce, Jonathan Daniel Chapple-Sokol, Charles W. Koburger, III, Michael James Lercel, Randy William Mann, James Spiros Nakos, John Joseph Prxarik, Kirk David Peterson, Jed Hickory Rankin
  • Patent number: 6211022
    Abstract: A nitride layer is deposited over a field oxide layer used to separate transistors formed in a substrate, the nitride layer serving to decrease transistor current leakage. The nitride layer has a dense lattice, effectively blocking H+ and Na+ penetration from overlying layers into the field oxide. Positive ions such as H+ and Na+ penetrating into the field oxide layer cause a p-substrate under the field oxide layer to become inverted or act like an n-type substrate, creating leakage current between source and drain regions of transistors which the field oxide layer separates. When high transistor threshold voltages such as 12 volts or more are desired, the nitride layer provides a significant reduction in current leakage.
    Type: Grant
    Filed: February 1, 1999
    Date of Patent: April 3, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Jonathan Lin, Radu Barsan, Sunil Mehta
  • Patent number: 6211537
    Abstract: A 1200 dpi LED may be manufactured without highly accurate mask alignment and provide good light radiation efficiency. A first interlayer dielectric is formed on a semiconductor substrate and has a plurality of first windows formed therein and aligned in a row. A diffusion region is formed in the semiconductor substrate through each of the first windows. An electrode is formed to have an area in contact with the corresponding diffusion region. Another electrode is formed on the other side of the substrate. A second interlayer dielectric is formed on the first interlayer dielectric such that the second interlayer dielectric does not overlap the area of the electrode and does not extend to a first perimeter of the area.
    Type: Grant
    Filed: March 18, 1998
    Date of Patent: April 3, 2001
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Takatoku Shimizu, Mitsuhiko Ogihara, Masumi Taninaka, Hiroshi Hamano
  • Patent number: 6198133
    Abstract: Using thin film transistors (TFTs), an active matrix circuit, a driver circuit for driving the active matrix circuit or the like are formed on one substrate. Circuits such as a central processing unit (CPU) and a memory, necessary to drive an electric device, are formed using single crystalline semiconductor integrated circuit chips. After the semiconductor integrated circuit chips are adhered to the substrate, the chips are connected with wirings formed on the substrate by a chip on glass (COG) method, a wire bonding method or the like, to manufacture the electric device having a liquid crystal display (LCD) on one substrate.
    Type: Grant
    Filed: February 16, 1999
    Date of Patent: March 6, 2001
    Assignee: Semiconductor Energy Laboratory Company, Ltd.
    Inventors: Shunpei Yamazaki, Yasuhiko Takemura
  • Patent number: 6191443
    Abstract: Capacitors and methods of forming capacitors are disclosed. In one implementation, a capacitor comprises a capacitor dielectric layer comprising Ta2O5 formed over a first capacitor electrode. A second capacitor electrode is formed over the Ta2O5 capacitor dielectric layer. Preferably, at least a portion of the second capacitor electrode is formed over and in contact with the Ta2O5 in an oxygen containing environment at a temperature of at least about 175° C. Chemical vapor deposition is one example forming method. The preferred second capacitor electrode comprises a conductive metal oxide. A more preferred second capacitor electrode comprises a conductive silicon comprising layer, over a conductive titanium comprising layer, over a conductive metal oxide layer. A preferred first capacitor electrode comprises a conductively doped Si-Ge alloy. Preferably, a Si3N4 layer is formed over the first capacitor electrode. DRAM cells and methods of forming DRAM cells are disclosed.
    Type: Grant
    Filed: February 28, 1998
    Date of Patent: February 20, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Husam N. Al-Shareef, Scott Jeffrey DeBoer, F. Daniel Gealy, Randhir P. S. Thakur
  • Patent number: 6188125
    Abstract: A semiconductor device and process for making the same are disclosed which use organic-containing materials to reduce capacitance between conductors, while allowing conventional photolithography and metal techniques and materials to be used in fabrication. In one structure, patterned conductors 18 are provided on an interlayer dielectric 10, with an inorganic substrate encapsulation layer 32 deposited conformally over this structure. A layer of an organic-containing dielectric material 22 (pure parylene, for example) is then deposited to substantially fill the gaps between and also cover the conductors. An inorganic cap layer 24 of a material such as SiO2 is deposited, followed by a photolithography step to define via locations. Vias are etched through the cap layer, and then through the organic-containing dielectric (this step may also be used to strip the photoresist).
    Type: Grant
    Filed: June 7, 1995
    Date of Patent: February 13, 2001
    Assignee: Texas Instruments Incorporated
    Inventor: Robert H. Havemann
  • Patent number: 6188101
    Abstract: Reduction in the short channel effect of a Flash EPROM cell is described. A method includes forming a gate structure on a substrate structure, and performing a nitrogen implant. Further included is performing device doping, wherein the nitrogen implant inhibits diffusion of dopant material into a channel of the cell. A Flash EPROM cell with reduced short channel effect includes a gate region, a drain region, and a source region, the source region and drain region defining a channel region therebetween beneath the gate region. The source region and drain region further have nitrogen implanted therein to reduce lateral diffusion of dopant material into the channel region.
    Type: Grant
    Filed: January 14, 1998
    Date of Patent: February 13, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Janet Wang
  • Patent number: 6184550
    Abstract: A microelectronic structure including adjacent material layers susceptible of adverse interaction in contact with one another, and a barrier layer interposed between said adjacent material layers, wherein said barrier layer comprises a binary, ternary or higher order metal nitride-carbide material, whose metal constituents are different from one another and include at least one metal selected from the group consisting of transition metals Ti, V, Cr, Zr, Nb, Mo, Hf, Ta, W, Sc and Y, and optionally further including Al and/or Si. The barrier layer is stoichiometrically constituted to be amorphous or nanocrystalline in character, and may be readily formed by techniques such as chemical vapor deposition, sputtering, and plasma-assisted deposition, to provide a diffusional barrier of appropriate resistivity character for structures such as DRAMs or non-volatile ferroelectric memory cells.
    Type: Grant
    Filed: August 28, 1998
    Date of Patent: February 6, 2001
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Peter C. Van Buskirk, Michael W. Russell
  • Patent number: 6180507
    Abstract: A method of forming interconnections is provided. A defined metal layer is formed as a metal line on a provided substrate. An oxide layer is formed on the metal layer and on the substrate. A silicon nitride layer is formed on the oxide layer. The oxide layer and the silicon nitride layer constitute a seed layer. A via hole is formed in the silicon nitride layer to expose the oxide layer positioned over the metal layer. A dielectric layer is formed on the seed layer. Since the silicon nitride layer and the oxide layer are different, a part of the dielectric layer positioned on the silicon nitride layer is a silicon oxide layer having holes therein. The other dielectric layer positioned on the oxide layer within the via hole is a dense silicon oxide layer.
    Type: Grant
    Filed: December 4, 1998
    Date of Patent: January 30, 2001
    Assignee: United Silicon Incorporated
    Inventor: Shih-Ming Lan
  • Patent number: 6180997
    Abstract: A manufacturing method and a structure of a multi-layered dielectric layer for forming openings in the dielectric layers for improving integration of integrated circuits, capability of step coverage, and problems caused by a structure of overhang, in which oblique sidewalls of the openings in multi-layered dielectric layer can improve the step coverage in the following manufacturing process.
    Type: Grant
    Filed: July 15, 1999
    Date of Patent: January 30, 2001
    Assignee: Winbond Electronics Corp.
    Inventor: Chi-Fa Lin
  • Patent number: 6175147
    Abstract: Exemplary embodiments of the present invention disclose a semiconductor assembly having at least one isolation structure formed. The semiconductor assembly comprises: a first trench in a semiconductive substrate; a second trench extending the overall trench depth in the semiconductive substrate by being aligned to the first trench; and a planarized insulation material substantially filling the first and second trenches. The isolation structure separates a non-continuous surface of a conductive region.
    Type: Grant
    Filed: May 14, 1998
    Date of Patent: January 16, 2001
    Assignee: Micron Technology Inc.
    Inventor: Salman Akram
  • Patent number: 6169293
    Abstract: A resin material having a small relative dielectric constant is used as a layer insulation film 114. The resin material has a flat surface. A black matrix or masking film for thin film transistors is formed thereon using a metal material. Such a configuration prevents the problem of a capacity generated between the masking film and a thin film transistor.
    Type: Grant
    Filed: July 22, 1999
    Date of Patent: January 2, 2001
    Assignee: Semiconductor Energy Labs
    Inventor: Shunpei Yamazaki
  • Patent number: 6169304
    Abstract: A semiconductor device forming a capacitor through an interlayer insulating layer on a semiconductor substrate on which an integrated circuit is formed. This semiconductor device has an interlayer insulating layer with moisture content of 0.5 g/cm3 or less, which covers the capacitor in one aspect, and has a passivation layer with hydrogen content of 1021 atoms/cm3 or less, which covers the interconnections of the capacitor in other aspect. By thus constituting, deterioration of the capacitor dielectric can be prevented which brings about the electrical reliability of the ferroelectric layer or high dielectric layer.
    Type: Grant
    Filed: May 4, 1998
    Date of Patent: January 2, 2001
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Koji Arita, Eiji Fujii, Yasuhiro Shimada, Yasuhiro Uemoto, Toru Nasu, Akihiro Matsuda, Yoshihisa Nagano, Atsuo Inoue, Taketoshi Matsuura, Tatsuo Otsuki
  • Patent number: 6163049
    Abstract: The as-deposited thickness of at least one of the oxide layers of a composite ONO dielectric film between a floating gate and a control gate of a non-volatile semiconductor device is deposited to a sufficient thickness such that, after the top oxide layer is cleaned, the control gate is spaced apart from the floating gate a distance corresponding to at least a minimum design data retention. Deposition is facilitated by forming one or more oxide layers at a thickness greater than the design rule by employing a relatively high dielectric constant material for the oxide layer or layers, such as aluminum oxide, titanium oxide or tantalum oxide. In this way, the capacitance of the ONO film between the floating gate and the control gate is maintained per design rule, avoiding a change in operating voltage. Embodiments include depositing a relatively thick top oxide layer to enable thorough cleaning without adversely reducing the total thickness of the ONO stack and, hence, achieving design data retention.
    Type: Grant
    Filed: October 13, 1998
    Date of Patent: December 19, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Nguyen Duc Bui
  • Patent number: 6144083
    Abstract: A method of fabricating a substantially smooth-surfaced anti-reflective coating on a semiconductor device structure including generating a plasma from an inert gas in a process chamber in which the substantially smooth anti-reflective coating is to be deposited. The anti-reflective coating may be a dielectric anti-reflective coating (DARC) which includes silicon, oxygen and nitrogen, and is preferably of the general formula Si.sub.x O.sub.y N.sub.z, where x equals 0.40 to 0.65, y equals 0.02 to 0.56 and z equals 0.05 to 0.33. Preferably, x+y+z equals one. The method may also include fabricating a silicon nitride layer over the anti-reflective coating. A semiconductor device which includes a silicon nitride layer over an anti-reflective coating that has been fabricated in accordance with the inventive method has a density of less than about 40,000 particles or surface roughness features in the silicon nitride of about 120-150 nanometers dimension per eight inch wafer.
    Type: Grant
    Filed: March 17, 1999
    Date of Patent: November 7, 2000
    Assignee: Micron Technology, Inc.
    Inventor: Zhiping Yin
  • Patent number: 6137125
    Abstract: The present invention is drawn to a 2-layer hermetic coating for on wafer encapsulation of GaAs monolithic microwave integrated circuits and the flip-chip mounting thereof. The present invention utilizes the properties of benzocyclobutene (BCB) for use in high frequency microwave applications to capacitively decoupled the MMIC from the carrier substrate during the flip-chip mounting process. The present invention has the advantage of improved performance and reliable flip-chip mounting by the reduction in stress between the carrier substrate and the MMIC that often occurs in flip-chip mounting of the MMIC.
    Type: Grant
    Filed: February 6, 1998
    Date of Patent: October 24, 2000
    Assignee: The Whitaker Corporation
    Inventors: Varmazis D. Costas, Anthony Kaleta
  • Patent number: 6137155
    Abstract: An integrated circuit is provided. The integrated circuit includes a substrate and at least one dielectric layer and a metal layer formed upon the substrate. The at least one dielectric layer includes a terminal dielectric layer. The integrated circuit further includes a planar passivating layer formed upon the terminal dielectric layer.
    Type: Grant
    Filed: December 31, 1997
    Date of Patent: October 24, 2000
    Assignee: Intel Corporation
    Inventors: Krishna Seshan, Neal R. Mielke
  • Patent number: 6137156
    Abstract: On a TEOS (tetraethyl ortho silicate) film and a surface of an aluminum wiring formed on a P-type silicon substrate, there is formed a low hydrogen content plasma SiN film on which a high hydrogen content plasma SiN film is laminated. The low hydrogen content plasma SiN film is lower in content of hydrogen than the high hydrogen content plasma SiN film. Accordingly, even when hydrogen is about to go toward and into the P-type silicon substrate side from the high hydrogen content plasma SiN film, the entry of hydrogen is blocked by the low hydrogen content plasma SiN film in which amount of Si--H bonds is reduced.
    Type: Grant
    Filed: January 29, 1998
    Date of Patent: October 24, 2000
    Assignee: DENSO Corporation
    Inventors: Yuji Ichikawa, Yasushi Tanaka, Yasuo Souki, Ryouichi Kubokoya, Akira Kuroyanagi, Hirohito Shioya
  • Patent number: 6133613
    Abstract: The present invention provides an anti-reflection film for lithographic application on tungsten-silicide containing substrate. In one embodiment of the present invention, a structure for improving lithography patterning in integrated circuit comprises a tungsten-silicide layer, a diaphanous layer located above the tungsten-silicide layer, an anti-reflection layer located above the diaphanous layer, and a photoresist layer located above the anti-reflection layer for patterning the integrated circuit pattern.
    Type: Grant
    Filed: February 3, 1998
    Date of Patent: October 17, 2000
    Assignee: Vanguard International Semiconductor Corporation
    Inventors: Liang-Gi Yao, John Chin-Hsiang Lin, Hua-Tai Lin
  • Patent number: 6133620
    Abstract: A semiconductor device comprising a thin film transistor, and a process for fabricating the same, the process comprising: a first step of forming an island-like semiconductor layer, a gate insulating film covering the semiconductor layer, and a gate electrode comprising a material containing aluminum as the principal component formed on the gate insulating film; a second step of introducing impurities into the semiconductor layer in a self-aligned manner by using the gate electrode as the mask; a third step of forming an interlayer dielectric to cover the gate electrode, and forming a contact hole in at least one of source and drain; a fourth step of forming over the entire surface, a film containing aluminum as the principal component, and then forming an anodic oxide film by anodically oxidizing the film containing aluminum as the principal component; a fifth step of etching the film containing aluminum as the principal component and the anodic oxide film, thereby forming a second layer interconnection cont
    Type: Grant
    Filed: December 29, 1998
    Date of Patent: October 17, 2000
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Hideki Uochi
  • Patent number: 6110784
    Abstract: A transistor and a method of making the same are provided. The transistor includes a substrate that has an upper surface and a gate dielectric layer positioned on the substrate that has a first quantity of nitrogen therein. A gate electrode is positioned on the gate dielectric layer. First and second source/drain regions are positioned in the substrate and laterally separated to define a channel region beneath the gate dielectric layer. The gate dielectric layer may be composed of a high K material with a thin equivalent thickness of oxide, such as TiO.sub.2, Ta.sub.2 O.sub.5, CrO.sub.2 or SrO.sub.2. The nitrogen suppresses later oxide formation which may otherwise increase the equivalent thickness of oxide of the gate dielectric layer. Nitrogen may also be incorporated into the substrate and the gate electrode.
    Type: Grant
    Filed: July 28, 1998
    Date of Patent: August 29, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark I. Gardner, Mark C. Gilmer
  • Patent number: 6107670
    Abstract: Disclosed is a contact structure between the bit line and the source/drain region in an EEPROM. An element region is isolated by a trench type element isolation region in a silicon substrate. The source/drain region is formed in the portion of the element region, that is surrounded by the trench type element isolation region and a multilayered gate. A silicon nitride film covers the surface of the trench type element isolation region and that of the multilayered gate, and an interlevel insulating film made from silicon dioxide is formed. A contact hole is formed in the interlevel insulating film. The source/drain region and the silicon nitride film are exposed in the contact hole. A bit line is connected to the source/drain region through the contact hole.
    Type: Grant
    Filed: August 28, 1997
    Date of Patent: August 22, 2000
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Kazunori Masuda
  • Patent number: 6100572
    Abstract: A termination structure for semiconductor devices and a process for fabricating the termination structure are described and include a layer of amorphous silicon for passivating and terminating the device junctions. The layer of amorphous silicon is deposited atop the metal contact and atop and overlying insulation layer and expose the source pad. A layer of silicon nitride may be deposited atop the layer of amorphous silicon. The layer of amorphous silicon minimizes gate leakage.
    Type: Grant
    Filed: March 20, 1997
    Date of Patent: August 8, 2000
    Assignee: International Rectifier Corp.
    Inventor: Daniel M. Kinzer
  • Patent number: 6093956
    Abstract: In one aspect, the invention includes a method of semiconductive wafer processing comprising forming a silicon nitride layer over a surface of a semiconductive wafer, the silicon nitride layer comprising at least two portions, one of the at least two portions generating a compressive force against the other of the at least two portions, and the other of the at least two portions generating a tensile force against the one of the at least two portions.
    Type: Grant
    Filed: June 18, 1998
    Date of Patent: July 25, 2000
    Assignee: Micron Technology, Inc.
    Inventors: John T. Moore, Scott J. DeBoer, Mark Fischer
  • Patent number: 6091132
    Abstract: A structure and method for creating an integrated circuit passivation comprising, a circuit (16) over which an insulating layer (26 and/or 28) is disposed that electrically and hermetically isolates the circuit (16) and a silicon carbide layer (30) to form a passivation (24) to protect a circuit (16), is disclosed.
    Type: Grant
    Filed: December 19, 1997
    Date of Patent: July 18, 2000
    Assignee: STMicroelectronics, Inc.
    Inventor: Frank R. Bryant
  • Patent number: 6091082
    Abstract: A structure and method for creating an integrated circuit passivation (24) comprising, a circuit (16), a dielectric (18), and metal plates (20) over which an insulating layer (26) is disposed that electrically and hermetically isolates the circuit (16), and a discharge layer (32) that is deposited to form a passivation (24) that protects the circuit (16) from electrostatic discharges caused by, e.g., a finger, is disclosed.
    Type: Grant
    Filed: February 17, 1998
    Date of Patent: July 18, 2000
    Assignee: STMicroelectronics, Inc.
    Inventors: Danielle A. Thomas, Frank Randolph Bryant
  • Patent number: 6087710
    Abstract: In a peripheral circuit region requiring a conductive path between layers at the periphery of a memory cell array region, a conductive path is provided, after removing a silicon nitride film used for self-alignment contact from the area of the contacting portion of a conductor, by forming an interlayer oxide film on the conductor and providing an opening through the interlayer oxide film. Alternatively, a conductive path is provided, after forming the interlayer oxide film on the silicon nitride film used for self-alignment contact, by forming an opening throughout the interlayer oxide film and silicon nitride film.
    Type: Grant
    Filed: July 26, 1996
    Date of Patent: July 11, 2000
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Takahisa Eimori, Hiroshi Kimura
  • Patent number: 6084280
    Abstract: A transistor having a source/drain metal silicide in close proximity to the channel region may be formed according to the following process. A masking structure is formed upon a semiconductor substrate, and a metal is deposited self-aligned to sidewall surfaces of the masking structure. The metal is then annealed to form a metal silicide. Following formation of lightly doped drain impurity areas self-aligned to the sidewall surfaces of the masking structure, spacers may be formed adjacent the sidewall surfaces and source and drain impurity areas may be formed self-aligned to sidewall surfaces of the spacers. Fill structures are then formed adjacent the spacers and the masking structure is removed to form an opening between the spacers. A gate dielectric is formed upon the exposed upper surface of the semiconductor substrate within the opening, and a gate conductor is formed within the opening.
    Type: Grant
    Filed: October 15, 1998
    Date of Patent: July 4, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark I. Gardner, Frederick N. Hause, Charles E. May