At Least One Layer Of Silicon Nitride Patents (Class 257/640)
  • Patent number: 6525384
    Abstract: Methods and apparatus for forming word line stacks comprise forming a thin nitride layer coupled between a bottom silicon layer and a conductor layer. In a further embodiment, a diffusion barrier layer is coupled between the thin nitride layer and the bottom silicon layer. The thin nitride layer is formed by annealing a silicon oxide film in a nitrogen-containing ambient.
    Type: Grant
    Filed: August 11, 1998
    Date of Patent: February 25, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Yongjun Hu, Randhir P. S. Thakur, Scott DeBoer
  • Patent number: 6521977
    Abstract: Semiconductor structures are provided with on-board deuterium reservoirs or with deuterium ingress paths which allow for diffusion of deuterium to semiconductor device regions for passivation purposes. The on-board deuterium reservoirs are in the form of plugs which extend through an insulating layer and a deuterium barrier layer to the semiconductor substrate, and are preferably positioned in contact with a shallow trench oxide which will allow diffusion of deuterium to the semiconductor devices. The deuterium ingress paths extend through thin film layers from the top or through the silicon substrate.
    Type: Grant
    Filed: January 21, 2000
    Date of Patent: February 18, 2003
    Assignee: International Business Machines Corporation
    Inventors: Jay Burnham, Eduard A. Cartier, Thomas G. Ference, Steven W. Mittl, Anthony K. Stamper
  • Patent number: 6521945
    Abstract: A dielectric insulating composite for insulating a floating gate from a control gate in a non-volatile memory is described. A material, such as an undoped polysilicon, amorphous silicon, or amorphous polysilicon or a silicon rich nitride, is inserted in the gate structure. The oxide film that results from the oxidation of these films is relatively free from impurities. As a result, charge leakage between the floating gate and control gate is reduced.
    Type: Grant
    Filed: January 30, 2002
    Date of Patent: February 18, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Michael Nuttall, Garry A. Mercaldi
  • Patent number: 6515350
    Abstract: A spacer film capable of protecting a gate stack in an integrated circuit wafer having a thin nucleation or seed layer of silicon nitride on the gate stack and a second, primary layer of silicon nitride on the nucleation layer. The spacer is formed using a BTBAS precursor and the primary layer may have carbon incorporated therein. The spacer film is able to protect a gate stack or other semiconductor device from corrosion by chemicals used in certain etching techniques. The invention further includes various semiconductor devices utilizing the new spacer film.
    Type: Grant
    Filed: February 22, 2000
    Date of Patent: February 4, 2003
    Assignee: Micron Technology, Inc.
    Inventor: John T. Moore
  • Publication number: 20030001240
    Abstract: Novel semiconductor devices containing a discontinuous cap layer and possessing a relatively low dielectric constant are provide herein. The novel semiconductor devices includes at least a substrate, a first dielectric layer applied on at least a portion of the substrate, a first set of openings formed through the dielectric layer to expose the surface of the substrate so that a conductive material deposited within and filling the openings provides a first set of electrical contact conductive elements and a discontinuous layer of cap material covering at least the top of the conductive elements to provide a first set of discontinuous cap elements. Methods for forming the semiconductor devices are also provided.
    Type: Application
    Filed: July 2, 2001
    Publication date: January 2, 2003
    Applicant: International Business Machiness Corporation
    Inventors: Stanley Joseph Whitehair, Stephen McConnell Gates, Sampath Purushothaman, Satyanarayana V. Nitta, Maurice McGlashan-Powell, Kevin S. Petrarca
  • Publication number: 20020195688
    Abstract: Structures for reducing relative stress between HDP layer and passivation layer are proposed by the invention, where the HDP layer is formed by high density plasma and the passivation layer is a conventional passivation layer. The invention provides some structures that can be divided into two categories: one, a low stress passivation layer is directly formed on a HDP layer; another, a low stress layer is formed between passivation layer and HDP layer to reduce relative layer that between any two adjacent layers. Therefore, it is crystal-clear that possible structures of the invention comprise following varieties: First, a low stress passivation layer is located between a passivation layer and a HDP layer. Second, a lower stress passivation layer directly locates on a HDP layer. Third, a low stress layer is formed between a passivation layer and a HDP layer.
    Type: Application
    Filed: June 13, 2002
    Publication date: December 26, 2002
    Inventors: Ellis Lee, Ing-Tang Chen, Horng-Bor Lu
  • Patent number: 6486530
    Abstract: An integrated passive component device in which an anodized metal capacitor and a HTD capacitor are fabricated with a protective conductive metal layer disposed between the dielectric layer of the anodized metal capacitor and the dielectric layer of the HTD capacitor. The protective conductive metal layer helps to prevent process chemicals and conditions used to fabricate the dielectric layer of the HTD capacitor from adversely affecting the dielectric layer of the anodized metal capacitor. The anodized metal capacitor and the high temperature deposition capacitor are fabricated on the same substrate using only one masking operation.
    Type: Grant
    Filed: October 16, 2000
    Date of Patent: November 26, 2002
    Assignee: Intarsia Corporation
    Inventors: Teruo Sasagawa, Brian W. Arbuckle
  • Patent number: 6483172
    Abstract: A process for fabricating a device including the step of forming a structure for facilitating the passivation of surface states is disclosed. The structure comprises an oxynitride layer formed as part of the device structure. The oxynitride facilitates the passivation of surface states when heated.
    Type: Grant
    Filed: April 28, 2000
    Date of Patent: November 19, 2002
    Assignees: Siemens Aktiengesellschaft, International Business Machines Corporation
    Inventors: Donna Rizzone Cote, William Joseph Cote, Son Van Nguyen, Markus Kirchhoff, Max G. Levy, Manfred Hauf
  • Patent number: 6483173
    Abstract: Low k dielectrics such as black diamond have a tendency to delaminate from the edges of a silicon wafer, causing multiple problems, including blinding of the alignment mark. This problem has been overcome by inserting a layer of silicon nitride between the low k layer and the substrate. A key requirement is that said layer of silicon nitride be under substantial compressive stress (at least 5×109 dynes/cm2). In the case of a layer of black diamond, on which material the invention is particularly focused, a nucleating layer is also inserted between the silicon nitride and the black diamond. A process for laying down the required layers is described together with an example of applying the invention to a dual damascene structure.
    Type: Grant
    Filed: December 31, 2001
    Date of Patent: November 19, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Lain-Jong Li, Shwangming Jeng, Syun-Ming Jang
  • Publication number: 20020163062
    Abstract: A structure/method for reducing the stress between a dielectric, passivation layer and a metallic structure comprising coating the metallic structure with a low stress modulus buffer material, and forming the dielectric passivation layer covering the low stress modulus buffer material. The low stress modulus buffer material is composed of a layer of a polymeric material selected from at least one of the group consisting of a hydrogen/alkane SQ (SilsesQuioxane) resin, polyimide, and a polymer resin. The dielectric, passivation layer is composed of at least one layer of a material selected from at least one of the group consisting of silicon oxide and silicon nitride. A protective layer is formed over the dielectric, passivation layer. The low stress modulus buffer material has a thermal coefficient of expansion between that of the metallic structure and that of the dielectric passivation layer.
    Type: Application
    Filed: February 26, 2001
    Publication date: November 7, 2002
    Applicant: International Business Machines Corporation
    Inventors: Ping-Chuan Wang, Robert Daniel Edwards, John C. Malinowski, Vidhya Ramachandran, Steffen Kaldor
  • Patent number: 6472719
    Abstract: A method for forming a semiconductor device having air regions, the method comprises providing a base, forming a pattern of metal leads, depositing a layer of oxide over the metal leads, forming a layer of nitride over said layer of oxide, opening and etching a trench down to the base layer of material, and depositing and planarizing a dielectric layer. An alternate approach teaches the deposition of a layer of SOG over the layer of oxide that has been deposited over the metal leads, planarizing this layer of SOG down to the top of the metal leads, depositing a layer of PECVD oxide, patterning and etching this layer of PECVD oxide thereby creating openings that are in between the metal leads. The SOG that is between the metal leads can be removed thereby creating air gaps as the Intra-level dielectric for the metal leads.
    Type: Grant
    Filed: July 24, 2000
    Date of Patent: October 29, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Shih-Chi Lin, Yen-Ming Chen, Juin-Jie Chang, Kuei-Wu Huang
  • Patent number: 6469389
    Abstract: Within an integrated circuit, a contact plug with a height not extending above the level of the gate/wordline nitride is nonetheless provided with a relatively large contact area or landing pad, significantly larger than the source/drain region to which the contact plug is electrically connected. Methods for producing the inventive contact plug include (1) use of a nitride facet etch, either (a) during a nitride spacer formation etch or (b) during a BPSG etch; (2) using at least one of (a) an isotropic photoresist etch or partial descum to narrow BPSG spacers above the gate/wordline nitride, and (b) a nitride step etch to etch the shoulder area of the gate/wordline nitride exposed by a BPSG etch; and (3) polishing a BPSG layer down to the top of a gate/wordline nitride before any doped polysilicon plug fill, masking for BPSG etch and performing a BPSG etch, etching the photoresist layer through a partial descum, and etching the shoulder area of the gate/wordline nitride exposed thereby.
    Type: Grant
    Filed: May 9, 2000
    Date of Patent: October 22, 2002
    Assignee: Micron Technolgy, Inc.
    Inventors: Werner Juengling, Kirk Prall, Gordon Haller, David Keller, Tyler Lowrey
  • Publication number: 20020145179
    Abstract: 59 A method for reactive ion etching of SiO2 and an etch stop barrier for use in such an etching is provided. A silicon nitride (SixNy) barrier having a Six to Ny ratio (x:y) of less than about 0.8 and preferably the stoichiometric amount of 0.75 provides excellent resilience to positive mobile ion contamination, but poor etch selectivity. However, a silicon nitride barrier having a ratio of Six to Nx (x:y) of 1.0 or greater has excellent etch selectivity with respect to SiO2 but a poor barrier to positive mobile ion contamination. A barrier of silicon nitride is formed on a doped silicon substrate which barrier has two sections. One section has a greater etch selectivity with respect to silicon dioxide than the second section and the second section has a greater resistance to transmission of positive mobile ions than the first section. One section adjacent the silicon substrate has a silicon to nitrogen ratio of less than about 0.8.
    Type: Application
    Filed: May 30, 2002
    Publication date: October 10, 2002
    Applicant: International Business Machines Corporation
    Inventors: Chung Hon Lam, Eric Seung Lee, Francis Roger White
  • Patent number: 6462723
    Abstract: There is provided a semiconductor device having TFTs whose thresholds can be controlled. There is provided a semiconductor device including a plurality of TFTs having a back gate electrode, a first gate insulation film, a semiconductor active layer a second gate insulation film and a gate electrode, which are formed on a substrate, wherein an arbitrary voltage is applied to the back gate electrode.
    Type: Grant
    Filed: June 11, 1999
    Date of Patent: October 8, 2002
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Jun Koyama, Setsuo Nakajima, Naoya Sakamoto
  • Patent number: 6462394
    Abstract: A method of fabricating an integrated circuit having reduced threshold voltage shift is provided. A nonconducting region is formed on the semiconductor substrate and active regions are formed on the semiconductor substrate. The active regions are separated by the nonconducting region. A barrier layer and a dielectric layer are deposited over the nonconducting region and over the active regions. Heat is applied to the integrated circuit causing the barrier layer to anneal.
    Type: Grant
    Filed: May 13, 1999
    Date of Patent: October 8, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Randhir P. S. Thakur, Ravi Iyer, Howard Rhodes
  • Patent number: 6462370
    Abstract: An integrated circuit device is provided that has a split-gate type memory transistor, a first capacitor element and a second capacitor element formed on a common chip. The dielectric strength of each of the split-gate type memory transistor, the first capacitor element and the second capacitor element can be improved. An intermediate insulation film of the split-gate type memory transistor can include a thermal oxide film, an HTO film, a side-section insulation film, and another thermal oxide film. A dielectric film of the first capacitor element can include a thermal oxide film, an HTO film, and another thermal oxide film, while a dielectric film of the second capacitor element can include a thermal oxide film, an HTO film, a silicon nitride film, and another thermal oxide film.
    Type: Grant
    Filed: August 31, 2001
    Date of Patent: October 8, 2002
    Assignee: Seiko Epson Corporation
    Inventor: Kazunobu Kuwazawa
  • Patent number: 6462403
    Abstract: A semiconductor device comprising a thin film transistor, and a process for fabricating the same, the process comprising: a first step of forming an island-like semiconductor layer, a gate insulating film covering the semiconductor layer, and a gate electrode comprising a material containing aluminum as the principal component formed on the gate insulating film; a second step of introducing impurities into the semiconductor layer in a self-aligned manner by using the gate electrode as the mask; a third step of forming an interlayer dielectric to cover the gate electrode, and forming a contact hole in at least one of source and drain; a fourth step of forming over the entire surface, a film containing aluminum as the principal component, and then forming an anodic oxide film by anodically oxidizing the film containing aluminum as the principal component; a fifth step of etching the film containing aluminum as the principal component and the anodic oxide film, thereby forming a second layer interconnection cont
    Type: Grant
    Filed: August 21, 2000
    Date of Patent: October 8, 2002
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Hideki Uochi
  • Patent number: 6459131
    Abstract: A CMOS image sensor for preventing a formation of scum and overlaps of neighboring color filters is provided. The image sensor includes: a semiconductor structure; a first color filter formed on the semiconductor structure, wherein the first color filter includes a first stacked layer, the first stacked layer having a first nitride layer and a first oxide layer; a second color filter, wherein the second color filter is formed with a dyed photoresist and in contact with the first color filter; and a third color filter formed on a portion where is not overlapped with the first and the second color filter, wherein the third color filter includes a second stacked layer, the second stacked layer having a second nitride layer and a second oxide layer.
    Type: Grant
    Filed: September 6, 2001
    Date of Patent: October 1, 2002
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventor: Kyung-Lak Lee
  • Publication number: 20020121677
    Abstract: A vertically mountable semiconductor device including at least one bond pad disposed on an edge thereof. The bond pad includes a conductive bump disposed thereon. The semiconductor device may also include a protective overcoat layer. The present invention also includes a method of fabricating the semiconductor device, including forming disconnected notches in a semiconductor wafer, redirecting circuit traces into each of the notches, and singulating the semiconductor wafer along the notches to form bond pads on the edges of the resultant semiconductor devices. A method of attaching the semiconductor device to a carrier substrate includes orienting the semiconductor device such that the bond pad is aligned with a corresponding terminal of the carrier substrate and establishing an electrical connection between the bond pad and the terminal.
    Type: Application
    Filed: May 2, 2002
    Publication date: September 5, 2002
    Inventors: Warren M. Farnworth, Larry D. Kinsman, Walter L. Moden
  • Patent number: 6441467
    Abstract: A semiconductor device of the present invention has (1) an active element provided on a semiconductor substrate, (2) an interlayer insulating film formed so as to cover the active element, (3) a pad metal for an electrode pad which is provided on the interlayer insulating film, (4) a barrier metal layer which is provided on the active element with the interlayer insulating film therebetween, so that the pad metal is formed on the barrier metal layer, and (5) an insulating layer having high adherence to the barrier metal layer, the insulating layer being provided between the interlayer insulating film and the barrier metal layer. With this arrangement, the adherence between the barrier metal layer, the insulating film and the interlayer insulating film is surely improved, and even in the case where an external force is applied to the electrode pad upon bonding or after bonding, the barrier metal layer hardly comes off the part thereunder.
    Type: Grant
    Filed: April 22, 1998
    Date of Patent: August 27, 2002
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Kenji Toyosawa, Atsushi Ono, Yasunori Chikawa, Nobuhisa Sakaguchi, Nakae Nakamura, Yukinori Nakata
  • Patent number: 6441452
    Abstract: A method for fabricating a substantially smooth-surfaced anti-reflective coating on a semiconductor device structure including generating a plasma from an inert gas in a process chamber in which the anti-reflective coating is to be deposited. The anti-reflective coating may include silicon, oxygen and nitrogen, and is preferably of the general formula SixOyNz, where x equals 0.40 to 0.65, y equals 0.02 to 0.56 and z equals 0.05 to 0.33. Preferably, x+y+z equals one. The method may also include fabricating a silicon nitride layer over the anti-reflective coating. A semiconductor device which includes a silicon nitride layer over the anti-reflective coating has a density of less than about 40,000 particles or surface roughness features in the silicon nitride of about 120-150 nanometers dimension per eight inch wafer. Accordingly, a mask that is subsequently formed over the silicon nitride layer has a substantially uniform thickness and is substantially distortion-free.
    Type: Grant
    Filed: March 28, 2001
    Date of Patent: August 27, 2002
    Assignee: Micron Technology, Inc.
    Inventor: Zhiping Yin
  • Publication number: 20020113295
    Abstract: A semiconductor device is disclosed including a memory cell region (I) and a peripheral region (II). The memory cell region (I) may have a more densely arranged gate spacing than the peripheral region (II). The memory cell region (I) may include an interlayer insulating film (206) and peripheral region (II) may include an interlayer insulating film (208). The interlayer insulating film (208) in the peripheral region (II) may have a lower concentration of boron and phosphorus than the interlayer insulating film (206) in the memory cell region (I). The concentration of boron in the interlayer insulating film (208) in the peripheral region (II) may be less than 11 mole percent and the concentration of phosphorus may be less than 6 mole percent. In this way, boron and phosphorus may be prevented from diffusing into the substrate while filling properties of the interlayer insulating film may be sufficient.
    Type: Application
    Filed: October 26, 2001
    Publication date: August 22, 2002
    Inventor: Ryoichi Nakamura
  • Patent number: 6433387
    Abstract: Lateral bipolar transistor, in which a thin diffusion barrier (4) is applied to a base region (10) between an emitter region (9) and a collector region (11), and there is present, on said barrier, a base electrode (8) which is provided for low-resistance supply, is connected to a heavily doped base terminal region and consists of polysilicon, for example, into which dopant is diffused out from said base terminal region.
    Type: Grant
    Filed: May 22, 1997
    Date of Patent: August 13, 2002
    Assignee: Siemens Aktiengesellschaft
    Inventor: Martin Kerber
  • Publication number: 20020105088
    Abstract: A semiconductor device and manufacturing method thereof include a semiconductor substrate, an interlevel dielectric (ILD) layer formed on the semiconductor substrate, a first contact stud formed in the ILD layer, having a line width of an entrance portion adjacent to the surface of the ILD layer larger than the line width of a contacting portion adjacent to the semiconductor substrate, and a second contact stud spaced apart from the first contact stud and formed in the ILD layer. The semiconductor device further includes a landing pad formed on the ILD layer to contact the surface of the second contact stud, having a line width larger than that of the second contact stud. The second contact stud has a line width of a contacting portion that is the same as that of an entrance portion. Also, at least one spacer comprising an etch stopper material is formed on the sidewalls of the landing pad and the etch stopper is formed on the landing pad.
    Type: Application
    Filed: October 31, 2001
    Publication date: August 8, 2002
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Won-suk Yang, Ki-nam Kim, Hong-sik Jeong
  • Patent number: 6426546
    Abstract: Structures for reducing relative stress between HDP layer and passivation layer are proposed by the invention, where the HDP layer is formed by high density plasma and the passivation layer is a conventional passivation layer. The invention provides some structures that can be divided into two categories: one, a low stress passivation layer is directly formed on a HDP layer; another, a low stress layer is formed between passivation layer and HDP layer to reduce relative layer that between any two adjacent layers. Therefore, it is crystal-clear that possible structures of the invention comprise following varieties: First, a low stress passivation layer is located between a passivation layer and a HDP layer. Second, a lower stress passivation layer directly locates on a HDP layer. Third, a low stress layer is formed between a passivation layer and a HDP layer.
    Type: Grant
    Filed: August 2, 1999
    Date of Patent: July 30, 2002
    Assignee: United Microelectronics Corp.
    Inventors: Ing-Tang Chen, Horng-Bor Lu
  • Patent number: 6420777
    Abstract: A method for reactive ion etching of SiO2 and an etch stop barrier for use in such an etching is provided. A silicon nitride (SixNy) barrier having a Six to Ny ratio (x:y) of less than about 0.8 and preferably the stoichiometric amount of 0.75 provides excellent resilience to positive mobile ion contamination, but poor etch selectivity. However, a silicon nitride barrier having a ratio of Six to Nx (x:y) of 1.0 or greater has excellent etch selectivity with respect to SiO2 but a poor barrier to positive mobile ion contamination. A barrier of silicon nitride is formed on a doped silicon substrate which barrier has two sections. One section has a greater etch selectivity with respect to silicon dioxide than the second section and the second section has a greater resistance to transmission of positive mobile ions than the first section. One section adjacent the silicon substrate has a silicon to nitrogen ratio of less than about 0.8.
    Type: Grant
    Filed: February 26, 1998
    Date of Patent: July 16, 2002
    Assignee: International Business Machines Corporation
    Inventors: Chung Hon Lam, Eric Seung Lee, Francis Roger White
  • Patent number: 6417559
    Abstract: In one aspect, the invention includes a semiconductor processing method, comprising: a) providing a silicon nitride material having a surface; b) forming a barrier layer over the surface of the material, the barrier layer comprising silicon and nitrogen; and c) forming a photoresist over and against the barrier layer.
    Type: Grant
    Filed: November 27, 2000
    Date of Patent: July 9, 2002
    Assignee: Micron Technology, Inc.
    Inventors: John T. Moore, Scott Jeffrey DeBoer, Mark Fischer, J. Brett Rolfson, Annette L. Martin, Ardavan Niroomand
  • Patent number: 6414376
    Abstract: Stress resulting from silicon nitride is diminished by forming an oxidation mask with silicon nitride having a graded silicon concentration. Grading is accomplished by changing the silicon content in the silicon nitride by varying the amount of hydride, such as dichlorosilane (DCS), mixed with ammonia. The silicon nitride can be graded in a substantially linear or non-linear fashion. Silicon nitride formed with higher levels of DCS mixed with ammonia is referred to as silicon rich nitride because of its relatively higher silicon content. In one embodiment, the graded silicon nitride may be formed with one type of non-linear silicon grading, an abrupt junction. In other embodiments, the silicon nitride is formed in a variety of shapes fashioned during or after silicon nitride growth. In one embodiment, the stress from the silicon nitride is reduced by forming a polysilicon buffer layer between two silicon nitride layers.
    Type: Grant
    Filed: February 19, 1999
    Date of Patent: July 2, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Randhir P. S. Thakur, Kevin G. Donohoe, Zhiqiang Wu, Alan R. Reinberg
  • Patent number: 6410462
    Abstract: A method of producing a low-k interconnect dielectric material, using PECVD processes and readily available precursors to produce carbon-doped silicon oxide (SiOC). SiOC dielectric materials are produced using conventional silane based gas precursors, of silane and nitrous oxide, along with hydrocarbon gas. The use of methane and acetylene in combination with silane based gas precursors is provided. Methane produces network terminating species, specifically methyl, which replaces oxygen in an Si—O bond within a silicon dioxide network. This increases the volume, reduces the density and the dielectric constant of the material. Acetylene acts as a possible source of carbon and as a modifier, reducing or eliminating undesirable bridging species, such as carbene, or enhancing desireable network terminating species, such as methyl. Following implantation, the material is annealed to reduce the—OH and to potentially further lower the dielectric constant.
    Type: Grant
    Filed: May 12, 2000
    Date of Patent: June 25, 2002
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Hongning Yang, David Russell Evans, Sheng Teng Hsu
  • Publication number: 20020074622
    Abstract: A passivation method includes disassociating ammonia so as to expose at least interfaces between silicon-containing and passivation structures to at least hydrogen species derived from the ammonia and forming an encapsulant layer that is positioned so as to substantially contain the hydrogen species in the presence of the interfaces. The hydrogen passivation reduces a concentration of dangling silicon bonds at the interfaces by as much as about two orders of magnitude or greater. The encapsulant layer, which may include silicon nitride, prevents hydrogen species from escaping therethrough as high temperature processes are subsequently conducted. Once high temperature processes have been completed, portions of the encapsulant layer may be removed, as needed, to provide access to features of the semiconductor device structure that underlie the encapsulant layer. Semiconductor device structures that have been passivated in such a manner are also disclosed.
    Type: Application
    Filed: October 30, 2001
    Publication date: June 20, 2002
    Inventors: Ronald A. Weimer, Fernando Gonzales
  • Patent number: 6396078
    Abstract: A semiconductor device having an improved contact hole through an interlayer insulator. A first insulating film comprising silicon nitride is deposited. A second insulating film comprising silicon oxide is deposited on the first insulating film. The deposition condition of the second insulating film is varied during the deposition so that the etching rate of the second insulating film increases from a lower portion toward an upper portion. Thereby, a contact hole which is formed by etching through the first and second insulating films has a tapered configuration to improve a reliability of a connection made therein.
    Type: Grant
    Filed: June 19, 1996
    Date of Patent: May 28, 2002
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hideki Uochi, Masahiko Hayakawa, Mitsunori Sakama, Toshimitsu Konuma, Shunpei Yamazaki
  • Publication number: 20020056840
    Abstract: The present invention provides materials and structures to reduce dislocation density when growing a III-nitride compound semiconductor. A II-nitride compound single crystal-island layer is included in the semiconductor structure, and III-nitride compound semiconductor layers are to grow thereon. It reduces the dislocation density resulted from the difference between the lattice constants of the GaN compound semiconductor layers and the substrate. It also improves the crystallization property of the III-nitride compound semiconductor.
    Type: Application
    Filed: December 29, 2000
    Publication date: May 16, 2002
    Applicant: UNITED EPITAXY COMPANY, LTD
    Inventors: Tzong-Liang Tsai, Chih-Sung Chang
  • Patent number: 6388304
    Abstract: The present invention is a semiconductor device having an element isolation structure of STI, in which after the formation of the STI trench, a silicon nitride film is left over only on the side wall portion of the trench, to form a side wall. Further, ions are implanted from the bottom surface of the trench on which the side wall is formed, and thus a high-concentration punch-through suppression region having the same conductivity as that of the substrate (or well) and a concentration higher that the impurity concentration of the other section close to the substrate (or well), is formed selectively only in the section of the substrate (or well) which is near the bottom surface of the trench. In this manner, the punch-through suppression region can be formed only in the bottom portion of the STI in a self-alignment manner by the thickness of the side wall.
    Type: Grant
    Filed: April 27, 2001
    Date of Patent: May 14, 2002
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Fumitomo Matsuoka, Kunihiro Kasai
  • Patent number: 6388310
    Abstract: The invention provides a semiconductor device with a passivation film provided on a surface thereof, said passivation film comprising a SiON layer in contact with the surface of said semiconductor device, and a Si3N4 layer provided at the outer side of said SiON layer, chraracterized in that said passivation film has an outermost layer of Si3N4 and said outermost layer has a portion in contact with said semiconductor device or the exposed area of said SiON layer is nitrided. The semiconductor device has a high bonding strength between the passivation film and the semiconductor device and high moisture resistance.
    Type: Grant
    Filed: August 27, 1997
    Date of Patent: May 14, 2002
    Assignee: Murata Manufacturing Co., Ltd.
    Inventors: Hiroyuki Seto, Shogo Yoshida
  • Publication number: 20020053720
    Abstract: A substrate for an electronic circuit, the substrate comprising a wafer of silicon Si having a top face covered in an electrically insulating layer of silicon nitride SiN, said electrically insulating layer of silicon nitride supporting one or more conductive tracks obtained by metallizing the top face of said electrically insulating layer for the purpose of enabling one or more electronic components to be connected.
    Type: Application
    Filed: September 14, 2001
    Publication date: May 9, 2002
    Applicant: ALSTOM
    Inventors: Benoit Boursat, Emmanuel Dutarde, Luc Meysenc, Jose Saiz, Pierre Solomalala
  • Patent number: 6380611
    Abstract: An improved photolithography technique is provided whereby the beneficial effects of using an anti-reflective coating may be realized while maintaining critical dimensions in each subsequent step. This improvement is realized by the treatment of the anti-reflective coating with a gaseous plasma or a solution of sulfuric acid and hydrogen peroxide. By treating the anti-reflective coating with gaseous plasma or solution of sulfuric acid and hydrogen peroxide, no “footing” results and the critical dimensions as set by the photoresist mask are preserved to provide an accurately patterned mask for subsequent steps.
    Type: Grant
    Filed: September 3, 1998
    Date of Patent: April 30, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Zhiping Yin, Gurtej S. Sandhu
  • Patent number: 6376911
    Abstract: A final passivation structure for a semiconductor device having conductive lines formed on a surface of the semiconductor device, comprising a planarized layer covering the surface and also covering the conductive lines, and a diffusion barrier covering the planarized layer. Alternately, the planarized layer may partially cover the conductive lines.
    Type: Grant
    Filed: August 23, 1995
    Date of Patent: April 23, 2002
    Assignees: International Business Machines Corporation, Siemens Aktiengesellschaft, Toshiba Corporation
    Inventors: James Gardner Ryan, Alexander Mitwalsky, Katsuya Okumura
  • Publication number: 20020045335
    Abstract: An exemplary implementation of the invention is a process for forming passivation protection on a semiconductor assembly by the steps of: forming a layer of oxide over patterned metal lines having sidewalls; forming a first passivation layer of silicon nitride over the layer of oxide such that the first passivation layer of silicon nitride resides along the sidewalls of metal lines and pinches off a gap between the metal lines; performing a facet etch to remove material from the edges of the first passivation layer of silicon nitride and re-deposits some of removed material across a pinch-off junction; forming a second passivation layer of silicon nitride on the first passivation layer of silicon nitride.
    Type: Application
    Filed: November 2, 2001
    Publication date: April 18, 2002
    Inventors: Philip J. Ireland, James E. Green
  • Patent number: 6362508
    Abstract: A CMOS memory device includes source and drain regions diffused into a substrate, a polysilicon gate structure formed over a channel region located between the first and second diffusion regions, and a pre-metal dielectric structure formed over the polysilicon gate structure. The pre-metal dielectric structure is a triple layer structure including a lower Borophosphosilicate glass (BPSG) layer formed over the polysilicon gate structure, a Nitride layer formed on the lower BPSG layer, and an upper dielectric layer (e.g., BPSG or USG) formed on the Nitride layer. The Phosphorous concentration in the lower BPSG layer is greater than the Phosphorous concentration in the upper dielectric layer, thereby providing retention protection for the underlying memory structures while facilitating optimal chemical mechanical polishing (CMP) planarization characteristics.
    Type: Grant
    Filed: April 3, 2000
    Date of Patent: March 26, 2002
    Assignee: Tower Semiconductor Ltd.
    Inventors: Michael Rasovsky, Menachem Vofsi, Zmira Shterenfeld-Lavie
  • Publication number: 20020022314
    Abstract: Circuit elements (e.g. transistor gates) formed over a semiconductor substrate are protected by adjacent dummy structures during mechanical or chemical mechanical polishing of an overlying dielectric.
    Type: Application
    Filed: April 30, 2001
    Publication date: February 21, 2002
    Applicant: Mosel Vitelic, Inc.
    Inventors: Hsing Ti Tuan, Chung Wai Leung
  • Patent number: 6344680
    Abstract: In a semiconductor chip with a planar structure, the width of each corner portion of a peripheral electrode in a diagonal direction of the chip is made almost the same as the width of each straight portion of the peripheral electrode, the peripheral electrode having the same potential as a drain electrode in the periphery of the chip. The corner portion of the peripheral electrode is in the form of a partial annular ring. Degradation of the withstand voltage in the semiconductor device is prevented in the high-temperature and high-humidity conditions.
    Type: Grant
    Filed: March 17, 2000
    Date of Patent: February 5, 2002
    Assignee: Fuji Electric Co., Ltd.
    Inventor: Koji Yamaguchi
  • Publication number: 20020003288
    Abstract: A semiconductor apparatus is provided which includes a lateral high-voltage semiconductor device which comprises a silicon substrate, a pair of main electrodes formed on the silicon substrate, and a silicon oxide film formed on the silicon substrate, such that at least a part of the silicon oxide film is located between the main electrodes. The semiconductor device further includes a voltage withstanding structure formed on the silicon oxide film, which structure includes a first silicon nitride film having a refractive index of not lower than 2.8, and a second silicon nitride film formed on the first silicon nitride film and having a refractive index of not higher than 2.2.
    Type: Application
    Filed: August 16, 2001
    Publication date: January 10, 2002
    Applicant: Fuji Electric, Co., Ltd.
    Inventors: Masaru Saitou, Gen Tada, Akio Kitamura
  • Patent number: 6335555
    Abstract: A thin film transistor of the present invention has an active layer including at least source, drain and channel regions formed on an insulating surface. A high resistivity region is formed between the channel region and each of the source and drain regions. A film capable of trapping positive charges therein is provided on at least the high resistivity region so that N-type conductivity is induced in the high resistivity region. Accordingly, the reliability of N-channel type TFT against hot electrons can be improved.
    Type: Grant
    Filed: May 13, 1999
    Date of Patent: January 1, 2002
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Yasuhiko Takemura, Satoshi Teramoto
  • Patent number: 6333528
    Abstract: A semiconductor device forming a capacitor through an interlayer insulating layer on a semiconductor substrate on which an integrated circuit is formed. This semiconductor device has an interlayer insulating layer with moisture content of 0.5 g/cm3 or less, which covers the capacitor in one aspect, and has a passivation layer with hydrogen content of 1021 atoms/cm3 or less, which covers the interconnections of the capacitor in other aspect. By thus constituting, deterioration of the capacitor dielectric can be prevented which brings about the electrical reliability of the ferroelectric layer or high dielectric layer.
    Type: Grant
    Filed: May 4, 1998
    Date of Patent: December 25, 2001
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Koji Arita, Eiji Fujii, Yasuhiro Shimada, Yasuhiro Uemoto, Toru Nasu, Akihiro Matsuda, Yoshihisa Nagano, Atsuo Inoue, Taketoshi Matsuura, Tatsuo Otsuki
  • Patent number: 6329689
    Abstract: The invention encompasses methods of forming individual silicon-on-insulator layers having varying thicknesses within the individual layers. The invention also encompasses methods of forming transistor devices from silicon-on insulator layers. Additionally, the invention encompasses semicondutor devices and assemblies utilizing silicon-on-insulator layers.
    Type: Grant
    Filed: June 12, 2000
    Date of Patent: December 11, 2001
    Assignee: Micron Technology, Inc.
    Inventor: H. Montgomery Manning
  • Patent number: 6316794
    Abstract: A semiconductor apparatus is provided which includes a lateral high-voltage semiconductor device which comprises a silicon substrate, a pair of main electrodes formed on the silicon substrate, and a silicon oxide film formed on the silicon substrate, such that at least a part of the silicon oxide film is located between the main electrodes. The semiconductor device further includes a voltage withstanding structure formed on the silicon oxide film, which structure includes a first silicon nitride film having a refractive index of not lower than 2.8, and a second silicon nitride film formed on the first silicon nitride film and having a refractive index of not higher than 2.2.
    Type: Grant
    Filed: December 30, 1998
    Date of Patent: November 13, 2001
    Assignee: Fuji Electric Co., Ltd.
    Inventors: Masaru Saitou, Gen Tada, Akio Kitamura
  • Publication number: 20010035564
    Abstract: A semiconductor device for an integrated injection logic cell having a pnp bipolar transistor structure formed on a semiconductor substrate, wherein at least one layer of insulating films formed on a base region of the pnp bipolar transistor structure is comprised of a silicon nitride film. The semiconductor device of the present invention is advantageous in that the silicon nitride film constituting at least one layer of the insulating films formed on the base region of the pnp bipolar transistor prevents an occurrence of contamination on the surface of the base region, so that both the properties of the pnp bipolar transistor and the operation of the IIL cell can be stabilized. Further, by the process of the present invention, the above-mentioned excellent semiconductor device can be produced.
    Type: Application
    Filed: February 7, 2001
    Publication date: November 1, 2001
    Inventor: Hirokazu Ejiri
  • Patent number: 6306777
    Abstract: A flash memory structure and fabrication process whereby stacks of a first poly-crystalline or of an amorphous silicon material (polysilicon), having a bottom layer member of an interpoly dielectric stack, are processed for formation of a post-treatment layer over the bottom interpoly dielectric layer member. The post-treatment layer is essentially a solid material formed by a chemical reaction for purposes of improving the reliability of an interpoly dielectric stack and results in changes to the capacitor coupling ratio of the flash memory element and allows the use of new power supply and programming voltages. The post-treatment layer is formed by exposing the polysilicon stacks with the bottom interpoly dielectric layer member to a selected one of at least three ambient reagent gases. The selected ambient reagent gases and exposure of the semiconductor structure being performed in either a batch furnace, a single wafer rapid thermal anneal tool, or a plasma chamber.
    Type: Grant
    Filed: June 15, 2000
    Date of Patent: October 23, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Robert B. Ogle, Jr., Arvind Halliyal
  • Patent number: 6300671
    Abstract: In one aspect, the invention includes a semiconductor processing method, comprising: a) providing a silicon nitride material having a surface; b) forming a barrier layer over the surface of the material, the barrier layer comprising silicon and nitrogen; and c) forming a photoresist over and against the barrier layer.
    Type: Grant
    Filed: August 18, 1999
    Date of Patent: October 9, 2001
    Assignee: Micron Technology, Inc.
    Inventors: John T. Moore, Scott J. DeBoer, Mark Fischer
  • Patent number: 6300667
    Abstract: A semiconductor device is fabricated first by thermocompression-bonding a silicon oxide film onto a plurality of conductive films under vacuum using a film having the silicon oxide film formed thereon and then by separating the base film from the silicon oxide film. During the separation, the base film, being composed of a fluorine-containing resin, has smaller surface energy than a silicon oxide film and thus is easy to separate, leaving the silicon oxide film on the conductive films. As a result, the silicon oxide film is adhered on the conductive films so as to cover the conductive films, and an air gap is hence provided between the conductive films. Thus, a highly reliable semiconductor device capable of high-speed operation is provided by controlling parasitic capacitances between interconnections arranged accurately and adequately adjacent to each other so that recent needs for further miniaturization and higher integration of semiconductor elements can be met.
    Type: Grant
    Filed: August 25, 1998
    Date of Patent: October 9, 2001
    Assignee: Nippon Steel Corporation
    Inventor: Yasushi Miyamoto