At Least One Layer Of Silicon Nitride Patents (Class 257/640)
  • Patent number: 6759333
    Abstract: A semiconductor device comprises a first conductor formed inside or on the top surface of a semiconductor substrate; an insulating film formed on the top surface of said semiconductor substrate or on the top surface of said first conductor; contact holes penetrating said insulating layer to reach said first conductor; a second conductor filled inside said contact holes and electrically connected to said first conductor; and an interconnection extending across contact regions on a top surface region of said insulating layer where said contact holes are formed respectively, and having opposite sides at least one of which is in contact with said second conductor inside said contact regions.
    Type: Grant
    Filed: September 10, 2002
    Date of Patent: July 6, 2004
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Mutsumi Okajima
  • Patent number: 6756672
    Abstract: A semiconductor device includes a first metallization level, a first diffusion barrier layer, a first etch stop layer, a dielectric layer and a via extending through the dielectric layer, the first etch stop layer, and the first diffusion barrier layer. The first diffusion barrier layer is disposed over the first metallization level. The first etch stop layer is disposed over the first diffusion barrier layer, and the dielectric layer is disposed over the first etch stop layer. The via can also have rounded corners. A sidewall diffusion barrier layer can be disposed on sidewalls of the via, and the sidewall diffusion barrier layer is formed from the same material as the first diffusion barrier layer. The first etch stop layer can be formed from silicon carbide. A method of manufacturing the semiconductor device is also disclosed.
    Type: Grant
    Filed: February 6, 2001
    Date of Patent: June 29, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Lu You, Dawn M. Hopper, Suzette K. Pangrle
  • Patent number: 6756647
    Abstract: A semiconductor device includes an n-type semiconductor substrate including a source region and a drain region in a main surface thereof, a high-permittivity insulator film including a high permittivity material and formed to cover an upper side of a region of the main surface of n-type semiconductor substrate, which region is interposed between source region and drain region. And the semiconductor device includes a boron-doped gate electrode formed above high-permittivity insulator film, and a high-permittivity nitride layer formed between high-permittivity insulator film and boron-doped gate electrode.
    Type: Grant
    Filed: February 24, 2003
    Date of Patent: June 29, 2004
    Assignee: Renesas Technology Corp.
    Inventors: Masao Inoue, Akinobu Teramoto, Junichi Tsuchimoto
  • Patent number: 6747338
    Abstract: A method of manufacturing MEMS structures and devices that allows the fabrication of dielectric structures with improved etch selectivity and good electrical leakage characteristics. The dielectric structure includes a composite stack of silicon nitride sub-layers with a silicon-rich nitride sub-layer and a stoichiometric silicon nitride sub-layer at opposite ends of the stack. Alternatively, the dielectric structure includes a single silicon nitride layer providing a graded change in silicon content through the dielectric layer, from silicon-rich nitride to stoichiometric silicon nitride.
    Type: Grant
    Filed: November 27, 2002
    Date of Patent: June 8, 2004
    Assignee: Analog Devices, Inc.
    Inventors: Thomas K. Nunan, David E. Grosjean, Denis M. O'Kane, James S. Sellars
  • Patent number: 6747339
    Abstract: A semiconductor device wherein a coating film which is made of a polyimide resin or a polyimide isoindoloquinazolinedione resin and which is at least 10 &mgr;m thick is disposed on at least an active region of a semiconductor substrate, and the resultant semiconductor substrate is encapsulated in a ceramic package. The semiconductor device has troubles relieved conspicuously, the troubles being ascribable to alpha-rays which come flying from impurities contained in the material of the package.
    Type: Grant
    Filed: December 22, 1994
    Date of Patent: June 8, 2004
    Assignee: Hitachi, Ltd.
    Inventors: Kiichiro Mukai, Atsushi Saiki, Seiki Harada
  • Patent number: 6746945
    Abstract: A material layer which contains nitrogen atoms is formed on a first wiring or at a side surface of a first wiring. When etching for forming a via hole is carried out, nitrogen atoms contained in the material layer bind with CF molecules, CF2 molecules, CF3 molecules and the like contained in an etching gas, and compounds thus formed adhere to a surface of a silicon dioxide layer at side walls and a bottom portion of a via hole. As a result, once the material layer is exposed during etching for forming a via hole, thereafter, the etching rate decreases. Accordingly, even if there is misalignment of a via hole pattern with respect to a first wiring pattern when the via hole pattern is formed by lithography, etching of the silicon dioxide layer does not proceed to an underlying silicon substrate. Thus, short circuits are not formed between the first wiring and the silicon substrate via a second wiring layer which is deposited later.
    Type: Grant
    Filed: December 27, 2002
    Date of Patent: June 8, 2004
    Assignee: Oki Electric Industry Co, Ltd.
    Inventor: Toshiyuki Orita
  • Patent number: 6743681
    Abstract: Gate and storage dielectric systems and methods of their fabrication are presented. A passivated overlayer deposited between a layer of dielectric material and a gate or first storage plate maintains a high K (dielectric constant) value of the dielectric material. The high K dielectric material forms an improved interface with a substrate or second plate. This improves dielectric system reliability and uniformity and permits greater scalability, dielectric interface compatibility, structural stability, charge control, and stoichiometric reproducibility. Furthermore, etch selectivity, low leakage current, uniform dielectric breakdown, and improved high temperature chemical passivity also result.
    Type: Grant
    Filed: November 9, 2001
    Date of Patent: June 1, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Arup Bhattacharyya
  • Publication number: 20040099928
    Abstract: A method of manufacturing MEMS structures and devices that allows the fabrication of dielectric structures with improved etch selectivity and good electrical leakage characteristics. The dielectric structure includes a composite stack of silicon nitride sub-layers with a silicon-rich nitride sub-layer and a stoichiometric silicon nitride sub-layer at opposite ends of the stack. Alternatively, the dielectric structure includes a single silicon nitride layer providing a graded change in silicon content through the dielectric layer, from silicon-rich nitride to stoichiometric silicon nitride.
    Type: Application
    Filed: November 27, 2002
    Publication date: May 27, 2004
    Inventors: Thomas K. Nunan, David E. Grosjean, Denis M. O'Kane, James S. Sellars
  • Patent number: 6737730
    Abstract: This invention embodies an improved process for annealing integrated circuits to repair fabrication-induced damage. An integrated circuit is annealed in a pressurized sealed chamber in which a forming gas comprising hydrogen is present. Pressurization of the chamber reduces the contribution made by the final anneal step to total thermal exposure by increasing the diffusion rate of the hydrogen into the materials from which the integrated circuit is fabricated. Ideally, the forming gas contains, in addition to hydrogen, at least one other gas such as nitrogen or argon that will not react with hydrogen and, thus, reduces the danger of explosion. However, the integrated circuit may be annealed in an ambiance containing only hydrogen gas that is maintained at a pressure greater than ambient atmospheric pressure.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: May 18, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Richard H. Lane, Phillip G. Wald
  • Patent number: 6737747
    Abstract: An advanced back-end-of-line (BEOL) metallization structure is disclosed. The structure includes a diffusion barrier or cap layer having a low dielectric constant (low-k), where the cap layer is formed of silicon nitride by a plasma-enhanced chemical vapor deposition (PE CVD) process. The metallization structure also includes an inter-layer dielectric (ILD) formed of a carbon-containing dielectric material having a dielectric constant of less than about 4, and a continuous hardmask layer overlying the ILD which is preferably formed of silicon nitride or silicon carbide. A method for forming the BEOL metallization structure is also disclosed. The method includes a pre-clean or pre-activation step to improve the adhesion of the cap layer to the underlying copper conductors. The pre-clean or pre-activation step comprises exposing the copper surface to a reducing plasma including hydrogen, ammonia, nitrogen and/or noble gases.
    Type: Grant
    Filed: January 15, 2002
    Date of Patent: May 18, 2004
    Assignee: International Business Machines Corporation
    Inventors: Edward Barth, John A. Fitzsimmons, Stephen M. Gates, Thomas H. Ivers, Sarah L. Lane, Jia Lee, Ann McDonald, Vincent McGahay, Darryl D. Restaino
  • Patent number: 6730992
    Abstract: To a provide a method of forming a layered film of a silicon nitride film and a silicon oxide film on a glass substrate in a short time without requiring a plurality of film deposition chambers. In a thin film transistor, a layered film including a silicon nitride oxide film (12) is formed between a semiconductor layer (13) and a substrate (11) using the same chamber. The silicon nitride oxide film has a continuously changing composition ration of nitrogen or oxygen. An electric characteristic of the TFT is thus improved.
    Type: Grant
    Filed: February 19, 2003
    Date of Patent: May 4, 2004
    Assignees: Semiconductor Energy Laboratory Co., Ltd., Sharp Kabushiki Kaisha
    Inventors: Mitsunori Sakama, Noriko Ishimaru, Masahiko Miwa, Mitinori Iwai
  • Patent number: 6724086
    Abstract: A low dielectric constant, thermally stable hydrogenated oxidized silicon carbon film which can be used as an interconnect dielectric in IC chips is disclosed. Also disclosed is a method for fabricating a thermally stable hydrogenated oxidized silicon carbon low dielectric constant film utilizing a plasma enhanced chemical vapor deposition technique. Electronic devices containing insulating layers of thermally stable hydrogenated oxidized silicon carbon low dielectric constant materials that are prepared by the method are further disclosed. To enable the fabrication of thermally stable hydrogenated oxidized silicon carbon low dielectric constant film, specific precursor materials having a ring structure are preferred.
    Type: Grant
    Filed: June 23, 2000
    Date of Patent: April 20, 2004
    Assignee: International Business Machines Corporation
    Inventors: Alfred Grill, Christopher Vincent Jahnes, Vishnubhai Vitthalbhai Patel, Laurent Claude Perraud
  • Patent number: 6723641
    Abstract: After forming a phosphor-doped amorphous silicon film and before forming a bottom silicon oxide film, a heat treatment is performed while exhausting a gas from the vicinity of the silicon substrate. The heat treatment is performed at a temperature equal to or higher than that for forming the bottom silicon oxide film and at a pressure equal to or lower than that for forming the bottom silicon oxide film. Alternatively, after forming the phosphor-doped amorphous silicon film and before forming the bottom silicon oxide film, a TEOS oxide film and a phosphor-doped amorphous silicon film deposited on the back surface of the silicon substrate are removed. Further alternatively, these films deposited on the back surface of the silicon substrate are covered with a film which prevents gas desorption under the film formation condition for the bottom silicon oxide film.
    Type: Grant
    Filed: June 4, 2002
    Date of Patent: April 20, 2004
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Kojiro Yuzuriha
  • Publication number: 20040061179
    Abstract: A low-thermal budget, silicon-rich silicon nitride film may include a concentration of hydrogen in Si—H bonds being at least 1.5 times as great as a concentration of hydrogen in N—H bonds. The silicon nitride film suppresses boron diffusion in boron-doped devices when such devices are processed using high-temperature processing operations that conventionally urge boron diffusion. The low-thermal budget, silicon-rich silicon nitride film may be used to form spacers in CMOS devices, it may be used as part of a dielectric stack to prevent shorting in tightly packed SRAM arrays, and it may be used in BiCMOS processing to form a base nitride layer and/or nitride spacers isolating the base from the emitter. Furthermore the low-thermal budget, silicon-rich silicon nitride film may remain covering the CMOS structure while bipolar devices are being formed, as it suppresses the boron diffusion that results in boron penetration and boron-doped poly depletion.
    Type: Application
    Filed: September 30, 2002
    Publication date: April 1, 2004
    Inventors: Michael Scott Carroll, Yi Ma, Minesh Amrat Patel, Peyman Sana
  • Publication number: 20040056332
    Abstract: A silicon on insulator substrate apparatus for fabricating an active-matrix liquid crystal display is described herein. The silicon on insulator substrate may include a handle substrate and a plurality of crystalline silicon donor portions bonded to the handle substrate. The crystalline silicon donor portions may be bonded to the handle substrate by providing a plurality of donor substrates and forming a separation layer within each donor substrate. The donor substrates may be arranged across a surface of the handle substrate and subsequently bonded to the handle substrate. The donor substrates may then be cleaved at their respective separation layers and removed from the handle substrate, thereby leaving a donor portion of each donor substrate attached the handle substrate.
    Type: Application
    Filed: September 12, 2002
    Publication date: March 25, 2004
    Applicant: Applied Materials, Inc.
    Inventors: Robert Bachrach, Kam Law
  • Patent number: 6707099
    Abstract: A semiconductor device less susceptible to inverse narrow channel effect and its manufacturing method are provided. A silicon nitride film (13) is adopted as element isolation regions; the silicon nitride film (13) has a smaller etch rate than a sacrificial silicon oxide film (7) which serves as a sacrificial layer during ion implantation (8). This prevents formation of recesses in the silicon nitride film (13) during the removal of the sacrificial silicon oxide film (7), which weakens the strength of the electric fields at the gate edges. Weakening the strength of the electric fields at the gate edges suppresses the inverse narrow channel effect, so that the MOS transistor offers a characteristic closer to a characteristic in which the threshold voltage keeps a constant value independently of the channel width. Thus an MOS transistor having a good characteristic can be manufactured.
    Type: Grant
    Filed: August 15, 2002
    Date of Patent: March 16, 2004
    Assignee: Renesas Technology Corp.
    Inventors: Katsuomi Shiozawa, Takashi Kuroi, Katsuyuki Horita
  • Patent number: 6693345
    Abstract: In one aspect, the invention includes a semiconductor processing method, comprising: a) providing a silicon nitride material having a surface; b) forming a barrier layer over the surface of the material, the barrier layer comprising silicon and nitrogen; and c) forming a photoresist over and against the barrier layer.
    Type: Grant
    Filed: November 26, 2001
    Date of Patent: February 17, 2004
    Assignee: Micron Technology, Inc.
    Inventors: John T. Moore, Scott Jeffrey DeBoer, Mark Fischer, J. Brett Rolfson, Annette L. Martin, Ardavan Niroomand
  • Patent number: 6689665
    Abstract: A method for forming shallow trench isolation (STI) features to reduce or avoid divot formation at STI trench corners including providing a shallow trench isolation (STI) feature included in a semiconductor process surface the STI feature including an anisotropically etched trench formed into a semiconductor substrate extending through a thickness including a thermally grown silicon dioxide layer overlying the semiconductor substrate and a metal nitride hardmask layer overlying the thermally grown silicon dioxide layer said anisotropically etched trench being back filled with a silicon dioxide filling material; removing excess silicon dioxide filling material overlying the hardmask layer according to a chemical mechanical polishing (CMP) process; removing the hard mask layer according to a wet chemical etching process; and, re-growing the thermally grown silicon dioxide layer including re-oxidizing to at least an originally formed thermally grown silicon dioxide layer thickness.
    Type: Grant
    Filed: October 11, 2002
    Date of Patent: February 10, 2004
    Assignee: Taiwan Semiconductor Manufacturing, Co., Ltd
    Inventors: Syun-Ming Jang, Mo-Chiun Yu
  • Patent number: 6680537
    Abstract: A semiconductor device includes a first interlayer film of SiN and a second interlayer film of SiO2 that are formed in the order over a semiconductor substrate having, at a surface, a conductive layer. In the same or different etching process, a contact or via hole is formed through the first interlayer film above the conductive layer, while an interconnect trench is formed through the second interlayer film.
    Type: Grant
    Filed: February 2, 1999
    Date of Patent: January 20, 2004
    Assignee: Rohm Co., Ltd.
    Inventor: Koji Yamamoto
  • Patent number: 6677661
    Abstract: In one aspect, the invention includes a semiconductor fabrication process, comprising: a) providing a substrate; b) forming a layer of silicon nitride over the substrate, the layer having a thickness; and c) enriching a portion of the thickness of the silicon nitride layer with silicon, the portion comprising less than or equal to about 95% of the thickness of the layer of silicon nitride. In another aspect, the invention includes a semiconductor fabrication process, comprising: a) providing a substrate; b) forming a layer of silicon nitride over the substrate, the layer having a thickness; and c) increasing a refractive index of a first portion of the thickness of the silicon nitride layer relative to a refractive index of a second portion of the silicon nitride layer, the first portion comprising less than or equal to about 95% of the thickness of the silicon nitride layer.
    Type: Grant
    Filed: October 28, 1999
    Date of Patent: January 13, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Scott Jeffrey DeBoer, John T. Moore, Mark Fischer, Randhir P. S. Thakur
  • Patent number: 6670710
    Abstract: A semiconductor device is provided with a first insulating film, a first wiring layer formed in the first insulating film, a second insulating film formed above the first wiring layer and the first insulating film, the second insulating film including a low dielectric constant film, a second wiring layer formed in the second insulating film and coupled to the first wiring layer through a first connection section, and a third insulating film formed above the second wiring layer and the second insulating film and serving as one of an interlayer insulating film and a passivation film, and at least one of the first and third insulating films being one of a film formed mainly of SiON, a film formed mainly of SiN, and a laminated film being the films formed mainly of SiON or SiN respectively.
    Type: Grant
    Filed: July 31, 2001
    Date of Patent: December 30, 2003
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Noriaki Matsunaga
  • Patent number: 6657283
    Abstract: Structures for reducing relative stress between HDP layer and passivation layer are proposed by the invention, where the HDP layer is formed by high density plasma and the passivation layer is a conventional passivation layer. The invention provides some structures that can be divided into two categories: one, a low stress passivation layer is directly formed on a HDP layer; another, a low stress layer is formed between passivation layer and HDP layer to reduce relative layer that between any two adjacent layers. Therefore, it is crystal-clear that possible structures of the invention comprise following varieties: First, a low stress passivation layer is located between a passivation layer and a HDP layer. Second, a lower stress passivation layer directly locates on a HDP layer. Third, a low stress layer is formed between a passivation layer and a HDP layer.
    Type: Grant
    Filed: June 13, 2002
    Date of Patent: December 2, 2003
    Assignee: United Microelectronics Corp.
    Inventors: Ellis Lee, Ing-Tang Chen, Horng-Bor Lu
  • Patent number: 6653679
    Abstract: An improved gate structure for a MOSFET device exhibits a reduced level of 1/f noise or “flicker noise”, while maintaining the control of boron penetration into the substrate of the MOSFET device. The gate structure for the MOSFET device includes a gate electrode and a gate oxide layer wherein nitrogen is selectively implanted into the gate oxide/device substrate interface prior to oxidation of the gate oxide layer. The nitrogen is selectively implanted so that the nitrogen is implanted into thin gate oxide regions and masked from thick gate oxide regions so that the benefits of controlling the boron penetration are realized while the 1/f noise is reduced due to the selective implantation of the nitrogen.
    Type: Grant
    Filed: December 11, 2001
    Date of Patent: November 25, 2003
    Assignee: Newport Fab, LLC
    Inventors: Sandeep D'Souza, Li-Ming Hwang, Aniruddha Joshi, Suryanarayana Shivakumar Bhattacharya
  • Patent number: 6650002
    Abstract: A semiconductor device of the present invention has (1) an active element provided on a semiconductor substrate, (2) an interlayer insulating film formed so as to cover the active element, (3) a pad metal for an electrode pad which is provided on the interlayer insulating film, (4) a barrier metal layer which is provided on the active element with the interlayer insulating film therebetween, so that the pad metal is i formed on the barrier metal layer, and (5) an insulating layer having high adherence to the barrier metal layer, the insulating layer being provided between the interlayer insulating film and the barrier metal layer. With this arrangement, the adherence between the barrier metal layer, the insulating film and the interlayer insulating film is surely improved, even in the case where an external force is applied to the electrode pad upon bonding or after bonding, the barrier metal layer hardly comes off the part thereunder.
    Type: Grant
    Filed: June 21, 2000
    Date of Patent: November 18, 2003
    Assignee: Sharp Kabushiki Kaishi
    Inventors: Kenji Toyosawa, Atsushi Ono, Yasunori Chikawa, Nobuhisa Sakaguchi, Nakae Nakamura, Yukinori Nakata
  • Patent number: 6649934
    Abstract: A gate wire is formed on an insulating substrate by a photolithography process using the first mask, and a gate insulating layer and a semiconductor layer are sequentially deposited. Then, an ohmic contact layer made of silicide or microcrystallized and doped amorphous silicon is formed on the semiconductor layer. Then, a triple pattern including a gate insulating layer, a semiconductor layer and an ohmic contact layer are patterned at the same time by a photolithography process using the second mask. At this time, a contact hole exposing the gate pad is formed. An ITO layer and a metal layer are deposited and patterned to form a data wire, a pixel electrode, and a redundant gate pad by a photolithography process using the third mask. The ohmic contact layer, which is not covered with the ITO layer and the metal layer, is removed. A passivation layer is deposited and patterned by a photolithography process using the fourth mask.
    Type: Grant
    Filed: November 6, 2002
    Date of Patent: November 18, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jun-Ho Song, Woon-Yong Park
  • Patent number: 6635583
    Abstract: The present invention generally provides a process for depositing silicon carbide using a silane-based material with certain process parameters that is useful for forming a suitable ARC for IC applications. The same material may also be used as a barrier layer and an etch stop, even in complex damascene structures and with high diffusion conductors such as copper as a conductive material. Under certain process parameters, a fixed thickness of the silicon carbide may be used on a variety of thicknesses of underlying layers. The thickness of the silicon carbide ARC is substantially independent of the thickness of the underlying layer for a given reflectivity, in contrast to the typical need for adjustments in the ARC thickness for each underlying layer thickness to obtain a given reflectivity.
    Type: Grant
    Filed: December 23, 1998
    Date of Patent: October 21, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Christopher Bencher, Joe Feng, Mei-Yee Shek, Chris Ngai, Judy Huang
  • Patent number: 6624053
    Abstract: A interconnection structure of the damascene type is produced on a surface of a microelectronic device that includes at least one dielectric material layer for housing at least one interconnection and at least one interface layer on the dielectric material layer. The interface layer may include at least one SiCH layer and at least one SiOCH layer.
    Type: Grant
    Filed: December 6, 2000
    Date of Patent: September 23, 2003
    Assignee: STMicroelectronics S.A.
    Inventor: Gérard Passemard
  • Publication number: 20030168729
    Abstract: An insulating substrate (1) has insulative ceramic layers (2, 3) laid one upon another, an intermediate layer (4) made of a material that is different from a material of the ceramic layers and arranged between adjacent ones of the ceramic layers to join the adjacent ceramic layers to each other, a first conductive layer (5) joined to the top surface of a top one of the ceramic layers, and a second conductive layer (6) joined to the bottom surface of a bottom one of the ceramic layers. Even if any one of the ceramic layers has strength lower than design strength and causes a breakage due to, for example, thermal stress, the remaining ceramic layers are sound to secure a specified breakdown voltage for the insulating substrate.
    Type: Application
    Filed: January 27, 2003
    Publication date: September 11, 2003
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Yutaka Ishiwata, Kosoku Nagata, Toshio Shimizu, Hiroyuki Hiramoto, Yasuhiko Taniguchi, Kouji Araki, Hiroshi Fukuyoshi, Hiroshi Komorita
  • Publication number: 20030160304
    Abstract: The present invention provides a single crystal wafer, wherein the main surface has a plane or a plane equivalent to a plane tilting with respect to a [100] axis of single crystal by angles of &agr; (0°<&agr;<90°) for the [011] direction, &bgr; (0°<&bgr;<90°) for the [01-1] direction and &ggr; (0°≦&ggr;<45°) for the [10-1] or [101] direction. Thus, a single crystal wafer that can sufficiently bear device production processes even with a small wafer thickness is provided and thereby loss of single crystal raw material is reduced. Further, by using such a wafer, MIS type semiconductor devices and solar cells are provided at a low cost.
    Type: Application
    Filed: January 8, 2003
    Publication date: August 28, 2003
    Inventors: Tadahiro Ohmi, Shigetoshi Sugawa, Tatsuo Ito, Koichi Kanaya
  • Patent number: 6608351
    Abstract: The performance of high-voltage devices is often influenced by charge-creep effects in the package. In order to avoid the resultant degradation, a bleeder may be used between the device and the package. However, it has been found in practice that the use of a high-resistive bleeder may lead to a certain instability of the device during operation. According to the invention, the bleeder (8) is provided with a plurality of conductive regions (12, 13) which are distributed in such a way that, when a high voltage is applied across the bleeder, a non-linear potential profile across the bleeder is obtained, which harmonizes with the ideal potential profile without the bleeder, instead of a linear profile which would have been obtained in the absence of said conductive regions due to charge-loading effects, and which would result in the above-mentioned instability effects.
    Type: Grant
    Filed: June 1, 2000
    Date of Patent: August 19, 2003
    Inventors: Constantinus Paulus Meeuwsen, Hendrik Gezienus Albert Huizing, Adrianus Willem Ludikhuize
  • Patent number: 6607992
    Abstract: An antireflection coating has two-layer structure including lower and upper silicon nitride films (p-SiN films) formed by plasma CVD. For the lower p-SiN film, the real part of its complex index of refraction is set in the range not less than 1.9 nor more than 2.5, the imaginary part is set in the range of not less than 0.9 nor more than 1.7, and the film thickness is set in the range of not less than 20 nm nor more than 60 nm. For the upper p-SiN film, the real part of its complex index of refraction is set in the range not less than 1.7 nor more than 2.4, the imaginary part is set in the range of not less than 0.15 nor more than 0.75, and the film thickness is set in the range of not less than 10 nm nor more than 40 nm.
    Type: Grant
    Filed: September 19, 2001
    Date of Patent: August 19, 2003
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Kouichirou Tsujita, Atsumi Yamaguchi, Junjiro Sakai, Kouji Oda, Koichiro Narimatsu
  • Publication number: 20030151119
    Abstract: To a provide a method of forming a layered film of a silicon nitride film and a silicon oxide film on a glass substrate in a short time without requiring a plurality of film deposition chambers. In a thin film transistor, a layered film including a silicon nitride oxide film (12) is formed between a semiconductor layer (13) and a substrate (11) using the same chamber. The silicon nitride oxide film has a continuously changing composition ration of nitrogen or oxygen. An electric characteristic of the TFT is thus improved.
    Type: Application
    Filed: February 19, 2003
    Publication date: August 14, 2003
    Applicant: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Mitsunori Sakama, Noriko Ishimaru, Masahiko Miwa, Mitinori Iwai
  • Patent number: 6602805
    Abstract: In fabricating nitride read only memory, a zirconium oxide layer has high dielectric constant and a zirconium oxide layer is replaced conventional tunnel oxide layer. Zirconium oxide layer can increase coupling ratio of gate dielectric layer and reliability for nitride read only memory type flash memory is improved. This invention, a substrate is provided and a zirconium oxide layer is formed on substrate by reactive magnetron sputtering and a silicon nitride layer is sandwiched between a zirconium oxide layer and a silicon oxide layer. Then, an ONO layer (oxide-nitride-oxide layer) is formed. The method is using zirconium oxide as gate dielectric can reduce leakage current, increase drain current, improve subthreshold characteristics, and electron and hole mobilities.
    Type: Grant
    Filed: December 14, 2000
    Date of Patent: August 5, 2003
    Assignee: Macronix International Co., Ltd.
    Inventor: Kent Kuohua Chang
  • Patent number: 6600524
    Abstract: An improved liquid crystal display apparatus for low power consumption has a thin film transistor as a switching device. The thin film transistor has a gate insulating layer of laminated film of silicon nitride and silicon oxide, a semiconductor layer, a drain electrode and a source electrode, and supplementary insulating layer, laminated in order on the gate electrode. The supplementary insulating layer includes a portion of silicon oxide formed by oxidizing a surface of the semiconductor layer.
    Type: Grant
    Filed: October 4, 2000
    Date of Patent: July 29, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Masahiko Ando, Masatoshi Wakagi
  • Patent number: 6593659
    Abstract: A semiconductor device with dual damascene structure is provided, which suppresses propagation delay of signals without using complicated processes. The device comprises a semiconductor substrate having a lower wiring layer and electronic elements, a first dielectric layer on the substrate, a second dielectric layer on the first dielectric layer made of carbon-containing SiO2, a third dielectric layer on the second dielectric layer, a fourth dielectric layer on the third dielectric layer made of carbon containing SiO2, the first and second dielectric layers having a via hole, the third dielectric layer having a recess overlapping the via hole, the recess formed to communicate with the via hole, a metal plug formed in the via hole in contact with the lower wiring layer or the electronic elements in the substrate, a metal wiring layer formed in the recess, and a fourth dielectric layer to cover the metal wiring layer.
    Type: Grant
    Filed: April 18, 2001
    Date of Patent: July 15, 2003
    Assignee: Nec Electronics Corporation
    Inventor: Takashi Yokoyama
  • Patent number: 6586820
    Abstract: An improved photolithography technique is provided whereby the beneficial effects of using an anti-reflective coating may be realized while maintaining critical dimensions in each subsequent step. This improvement is realized by the treatment of the anti-reflective coating with a gaseous plasma or a solution of sulfuric acid and hydrogen peroxide. By treating the anti-reflective coating with gaseous plasma or solution of sulfuric acid and hydrogen peroxide, no “footing” results and the critical dimensions as set by the photoresist mask are preserved to provide an accurately patterned mask for subsequent steps.
    Type: Grant
    Filed: March 13, 2002
    Date of Patent: July 1, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Zhiping Yin, Gurtej S. Sandhu
  • Patent number: 6576990
    Abstract: A stress-free wafer comprising a substrate formed of a semiconductor material having front side and back side planar and parallel surfaces and having a thickness which can be as thin as 1 to 2 mils. The front side has electronic circuitry therein with exposed contact pads. The back side is ground and polished so that the wafer is substantially stress free and can withstand bending over a 2″ radius without breaking or damaging.
    Type: Grant
    Filed: June 20, 2001
    Date of Patent: June 10, 2003
    Assignee: Aptek Industries, Inc.
    Inventors: H. Kelly Flesher, Albert P. Youmans
  • Patent number: 6576981
    Abstract: A platen for use in a dry etching process for substrate production, the platen having a surface susceptible to chipping and/or particle generation from the dry etching process and a coating applied to at least a portion of the surface for rendering the surface less susceptible to chipping and/or particle generation, the coating comprising a silicon carbide coating
    Type: Grant
    Filed: July 3, 2001
    Date of Patent: June 10, 2003
    Assignee: LSI Logic Corporation
    Inventor: Katsumi Aoki
  • Patent number: 6576982
    Abstract: A semiconductor device includes a first metallization layer, a first diffusion barrier layer, a first etch stop layer, a dielectric layer and a via extending through the dielectric layer, the first etch stop layer, and the first diffusion barrier layer. The first diffusion barrier layer is disposed over the first metallization layer. The first etch stop layer is disposed over the first diffusion barrier layer, and the dielectric layer is disposed over the first etch stop layer. The via can also have rounded corners. A sidewall diffusion barrier layer can be disposed on sidewalls of the via, and the sidewall diffusion barrier layer is formed from the same material as the first diffusion barrier layer. The first etch stop layer can be formed from silicon oxynitride. A method of manufacturing the semiconductor device is also disclosed.
    Type: Grant
    Filed: February 6, 2001
    Date of Patent: June 10, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Lu You, Dawn M. Hopper, Minh Van Ngo
  • Patent number: 6566736
    Abstract: Moisture seal apparatus and methodologies are disclosed for protecting semiconductor devices from moisture. An upper seal layer, such as SiN is formed over an upper insulator layer and an exposed portion of a die seal metal structure so as to form a vertical moisture seal between electrical components in the semiconductor device and the ambient environment. A lateral seal may be formed from the die seal metal structure in an upper metal layer in the device and one or more contacts extending downward from the die seal metal to the substrate or to a lower die seal metal structure.
    Type: Grant
    Filed: November 30, 2001
    Date of Patent: May 20, 2003
    Assignees: Advanced Micro Devices, Inc., Fujitsu Limited
    Inventors: Hiroyuki Ogawa, Yider Wu, Yu Sun
  • Patent number: 6563219
    Abstract: An exemplary implementation of the invention is a process for forming passivation protection on a semiconductor assembly by the steps of: forming a layer of oxide over patterned metal lines having sidewalls; forming a first passivation layer of silicon nitride over the layer of oxide such that the first passivation layer of silicon nitride resides along the sidewalls of metal lines and pinches off a gap between the metal lines; performing a facet etch to remove material from the edges of the first passivation layer of silicon nitride and re-deposits some of removed material across a pinch-off junction; forming a second passivation layer of silicon nitride on the first passivation layer of silicon nitride.
    Type: Grant
    Filed: November 2, 2001
    Date of Patent: May 13, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Philip J. Ireland, James E. Green
  • Patent number: 6559052
    Abstract: Method and apparatus for depositing an amorphous silicon film on a substrate using a high density plasma chemical vapor deposition (HDP-CVD) technique is provided. The method generally comprises positioning a substrate in a processing chamber, introducing an inert gas into the processing chamber, introducing a silicon source gas into the processing chamber generating a high density plasma, and depositing the amorphous silicon film. The amorphous silicon film is deposited at a substrate temperature 500° C. or less. The amorphous silicon film may then be annealed to improve film properties.
    Type: Grant
    Filed: June 26, 2001
    Date of Patent: May 6, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Zhuang Li, Kent Rossman, Tzuyuan Yiin
  • Patent number: 6555896
    Abstract: A etch stop layer for use in a silicon oxide dry fluorine etch process is made of silicon nitride with hydrogen incorporated in it either in the form of N—H bonds, Si—H bonds, or entrapped free hydrogen. The etch stop layer is made by either increasing the NH3 flow, decreasing the SiH4 flow, decreasing the nitrogen flow, or all three, in a standard PECVD silicon nitride fabrication process. The etch stop can alternatively be made by pulsing the RF field in either a PECVD process or an LPCVD process.
    Type: Grant
    Filed: December 21, 2000
    Date of Patent: April 29, 2003
    Assignee: Micron Technology, Inc.
    Inventors: David A. Cathey, J. Brett Rolfson, Valerie A. Ward, Karen M. Winchester
  • Patent number: 6555865
    Abstract: The present invention provides a nonvolatile memory device having high reliability with novel sidewall spacer structures. The gate stack structure for use in a nonvolatile memory device comprises a semiconductor substrate, a gate stack formed on the semiconductor substrate. The gate stack has a sidewall and a top surface. A multi-layer sidewall spacer structure is formed on the sidewall of the gate stack. The multi-layer sidewall spacer structure includes a first oxide layer, a first nitride layer, a second oxide layer, and a second nitride layer that are sequentially stacked. With the present invention, even if the second nitride layer is perforated or damaged during the formation of contact holes, sidewalls of the gate stack of nonvolatile memory cell can be protected with the first nitride layer from mobile ions. Also, etching damage to source/drain regions or field regions can be reduced.
    Type: Grant
    Filed: July 10, 2001
    Date of Patent: April 29, 2003
    Assignee: Samsung Electronics Co. Ltd.
    Inventors: Joon-Sung Lee, Woon-Kyung Lee
  • Patent number: 6548873
    Abstract: A semiconductor device causes less element characteristic fluctuation and hardly causes parasitic actions even when a wire having a barrier metal made of a titanium material is provided. The semiconductor device includes a MOS transistor provided on the surface side of a semiconductor substrate, a first silicon oxide film, a silicon nitride film and a second silicon oxide film provided on the semiconductor substrate while covering the MOS transistor, and a wire having a barrier metal made of titanium material and provided on the insulating film, wherein the silicon nitride film covers the MOS transistor and has an opening on an element isolating region for isolating the MOS transistors. The silicon nitride film is formed in one and the same process as that of a dielectric film of a capacitor element.
    Type: Grant
    Filed: October 12, 1999
    Date of Patent: April 15, 2003
    Assignee: Sony Corporation
    Inventors: Hiroaki Ammo, Hiroyuki Miwa, Shigeru Kanematsu
  • Patent number: 6548368
    Abstract: Provided is a method of integrating Ta2O5 into an MIS stack capacitor for a semiconductor device by forming a thin SiON layer at the Si/TaO interface using low temperature remote plasma oxidation anneal. Also provided is a method of forming an MIS stack capacitor with improved electrical performance by treating SiO2 with remote plasma nitridation or SiN layer with rapid thermal oxidation or RPO to form a SiON layer prior to Ta2O5 deposition with TAT-DMAE, TAETO or any other Ta-containing precursor.
    Type: Grant
    Filed: August 23, 2000
    Date of Patent: April 15, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Pravin Narwankar, Ravi Rajagopalan
  • Patent number: 6538270
    Abstract: An array of memory cells that includes a plurality of memory cells interconnected via a grid of wordlines and bitlines, wherein each of the bitlines is buried. The array further includes a plurality of contacts, wherein each of the plurality of contacts is formed every N wordlines, N=1, 2, 3, . . . , and wherein each of the plurality of contacts overlies a gate of a different one of the plurality of memory cells. A strap connects one of the buried bitlines to a gate that underlies one of the plurality of contacts and wherein a column of the bitlines has a first discontinuous and a second discontinuous bitline that are separated from one another by a distance &Dgr;.
    Type: Grant
    Filed: November 22, 2000
    Date of Patent: March 25, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark W. Randolph, Shane Charles Hollmer, Pau-Ling Chen, Richard M. Fastow
  • Patent number: 6537902
    Abstract: A material layer which contains nitrogen atoms is formed on a first wiring or at a side surface of a first wiring. When etching for forming a via hole is carried out, nitrogen atoms contained in the material layer bind with CF molecules, CF2 molecules, CF3 molecules and the like contained in an etching gas, and compounds thus formed adhere to a surface of a silicon dioxide layer at side walls and a bottom portion of a via hole. As a result, once the material layer is exposed during etching for forming a hole, thereafter, the etching rate decreases. Accordingly even if there is misalignment of a via hole pattern with respect to a first wiring pattern when the via hole pattern is formed by lithography, etching of the silicon dioxide layer does not proceed to an underlying silicon substrate. Thus, short circuits are not formed between the first wiring and the silicon substrate via a second wiring layer which is deposited later.
    Type: Grant
    Filed: January 24, 2000
    Date of Patent: March 25, 2003
    Assignee: Oki Electric Industry Co, Ltd.
    Inventor: Toshiyuki Orita
  • Publication number: 20030042559
    Abstract: A thin film transistor of the present invention has an active layer including at least source, drain and channel regions formed on an insulating surface. A high resistivity region is formed between the channel region and each of the source and drain regions. A film capable of trapping positive charges therein is provided on at least the high resistivity region so that N-type conductivity is induced in the high resistivity region. Accordingly, the reliability of N-channel type TFT against hot electrons can be improved.
    Type: Application
    Filed: December 28, 2001
    Publication date: March 6, 2003
    Inventors: Yasuhiko Takemura, Satoshi Teramoto
  • Patent number: 6525401
    Abstract: A semiconductor device for an integrated injection logic cell having a pnp bipolar transistor structure formed on a semiconductor substrate, wherein at least one layer of insulating films formed on a base region of the pnp bipolar transistor structure is comprised of a silicon nitride film. The semiconductor device of the present invention is advantageous in that the silicon nitride film constituting at least one layer of the insulating films formed on the base region of the pnp bipolar transistor prevents an occurrence of contamination on the surface of the base region, so that both the properties of the pnp bipolar transistor and the operation of the IIL cell can be stabilized. Further, by the process of the present invention, the above-mentioned excellent semiconductor device can be produced.
    Type: Grant
    Filed: February 7, 2001
    Date of Patent: February 25, 2003
    Assignee: Sony Corporation
    Inventor: Hirokazu Ejiri