By Physical Means, E.g., Sputtering, Evaporation (epo) Patents (Class 257/E21.169)
  • Patent number: 11532558
    Abstract: Composite integrated circuit (IC) device structures that include two components coupled through a hybrid bonded composite interconnect structure. The two components may be two different monolithic IC structures (e.g., chips) that are bonded over substantially planar dielectric and metallization interfaces. Composite interconnect metallization features formed at a bond interface may be doped with a metal or chalcogenide dopant. The dopant may migrate to a periphery of the composite interconnect structure and form a barrier material that will then limit outdiffusion of a metal, such as copper, into adjacent dielectric material.
    Type: Grant
    Filed: September 27, 2019
    Date of Patent: December 20, 2022
    Assignee: Intel Corporation
    Inventors: Carl Naylor, Mauro Kobrinsky, Richard Vreeland, Ramanan Chebiam, William Brezinski, Brennen Mueller, Jeffery Bielefeld
  • Patent number: 11315771
    Abstract: Methods and apparatus for processing a substrate are provided herein. A method, for example, includes igniting a plasma at a first pressure within a processing volume of a process chamber; depositing sputter material from a target disposed within the processing volume while decreasing the first pressure to a second pressure within a first time frame while maintaining the plasma; continuing to deposit sputter material from the target while decreasing the second pressure to a third pressure within a second time frame less than the first time frame while maintaining the plasma; and continuing to deposit sputter material from the target while maintaining the third pressure for a third time frame that is greater than or equal to the second time frame while maintaining the plasma.
    Type: Grant
    Filed: July 14, 2020
    Date of Patent: April 26, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Xiangjin Xie, Fuhong Zhang, Shirish A. Pethe, Martin Lee Riker, Lewis Yuan Tse Lo, Lanlan Zhong, Xianmin Tang, Paul Dennis Connors
  • Patent number: 11075146
    Abstract: Microfeature workpieces having alloyed conductive structures, and associated methods are disclosed. A method in accordance with one embodiment includes applying a volume of material to a bond pad of a microfeature workpiece, with the volume of material including a first metallic constituent and the bond pad including a second constituent. The method can further include elevating a temperature of the volume of material while the volume of material is applied to the bond pad to alloy the first metallic constituent and the second metallic constituent so that the first metallic constituent is alloyed generally throughout the volume of material. A thickness of the bond pad can be reduced from an initial thickness T1 to a reduced thickness T2.
    Type: Grant
    Filed: December 20, 2019
    Date of Patent: July 27, 2021
    Assignee: Micron Technology, Inc.
    Inventors: Warren M. Farnworth, Rick C. Lake, William M. Hiatt
  • Patent number: 10892186
    Abstract: Methods and apparatus to fill a feature with a seamless gapfill of copper are described. A copper gapfill seed layer is deposited on a substrate surface by atomic layer deposition followed by a copper deposition by physical vapor deposition to fill the gap with copper.
    Type: Grant
    Filed: October 12, 2018
    Date of Patent: January 12, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Ben-Li Sheu, Feng Q. Liu, Tae Hong Ha, Mei Chang, Shirish Pethe
  • Patent number: 10868128
    Abstract: Semiconductor contact structures, a semiconductor device including the semiconductor contact structures, and a method for forming the same are disclosed. In an embodiment, a semiconductor device includes a channel layer on a substrate; an interface layer on the channel layer, the interface layer including titanium (Ti), the interface layer contacting the channel layer; and a contact metal layer over the interface layer, the contact metal layer including aluminum silicon copper alloy (AlSiCu).
    Type: Grant
    Filed: February 1, 2019
    Date of Patent: December 15, 2020
    Assignees: Taiwan Semiconductor Manufacturing Company, Ltd., National Taiwan University
    Inventors: Jen-Inn Chyi, Cheng-Han Tsou, Szu-Hung Chen
  • Patent number: 10748847
    Abstract: The present disclosure relates to a semiconductor device comprising a metallisation stack. The metallisation stack may include a first metallisation layer and a second metallisation layer. The first metallisation layer may be electrically connected to the second metallisation layer by a two or more stacked inter-metal vias.
    Type: Grant
    Filed: September 9, 2015
    Date of Patent: August 18, 2020
    Assignee: Nexperia B.V.
    Inventors: Paul Huiskamp, Godfried Henricus Josephus Notermans
  • Patent number: 10704124
    Abstract: Disclosed herein is an alloy for a vehicle garnish, which is made by mixing Cu as a base with Mg and Si to have a composition of CuaMgbSic, wherein the alloy can have a color close to Au and the color of the alloy can be changed, and wherein the allow can also be made to have a low specific gravity and at a low cost.
    Type: Grant
    Filed: December 28, 2017
    Date of Patent: July 7, 2020
    Assignees: Hyundai Motor Company, Kia Motors Corporation, Industry-Academia Cooperation Group of Sejong Univ
    Inventors: Hoo-Dam Lee, Hoon-Mo Park, Hyun-Min Kang, Tae-Gyu Lee, Jong-Kook Lee, Ki Buem Kim, Yeon Beom Jeong
  • Patent number: 10651100
    Abstract: A substrate comprises a pair of immediately-adjacent integrated-circuit dies having scribe-line area there-between. At least one of the dies comprises insulting material above integrated circuitry. The insulating material has an opening therein that extends elevationally inward to an upper conductive node of integrated circuitry within the one die. The one die comprises a conductive line of an RDL above the insulating material. The RDL-conductive line extends elevationally inward into the opening and is directly electrically coupled to the upper conductive node. The insulating material has a minimum elevational thickness from an uppermost surface of the upper conductive node to an uppermost surface of the insulating material that is immediately-adjacent the insulating-material opening. Insulator material is above a conductive test pad in the scribe-line area. The insulator material has an opening therein that extends elevationally inward to an uppermost surface of the conductive test pad.
    Type: Grant
    Filed: May 16, 2018
    Date of Patent: May 12, 2020
    Assignee: Micron Technology, Inc.
    Inventors: Shigeru Sugioka, Kiyonori Oyu, Hiroshi Toyama, Jung Chul Park, Raj K. Bansal
  • Patent number: 10510549
    Abstract: A method of fabricating a metal layer includes performing a first re-sputtering to remove a metal compound formed on a conductive layer. The first re-sputtering includes bombarding the metal compound and a dielectric layer on the conductive layer by inert ions and metal atoms. Then, a barrier is formed on the dielectric layer and the conductive layer. Later, a bottom of the barrier is removed. Subsequently, a metal layer is formed to cover the barrier.
    Type: Grant
    Filed: December 25, 2017
    Date of Patent: December 17, 2019
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Shouguo Zhang, Hai Tao Liu, Ming Hua Du, Yen-Chen Chen
  • Patent number: 10340391
    Abstract: A semiconductor device includes an oxide semiconductor layer, disposed over a substrate. A source electrode of a metal nitride is disposed on the oxide semiconductor layer. A drain electrode of the metal nitride is disposed on the oxide semiconductor layer. A metal-nitride oxidation layer is formed on a surface of the source electrode and the drain electrode. A ratio of a thickness of the metal-nitride oxidation layer to a thickness of the drain electrode or the source electrode is equal to or less than 0.2.
    Type: Grant
    Filed: June 29, 2017
    Date of Patent: July 2, 2019
    Assignee: United Microelectronics Corp.
    Inventors: Yen-Chen Chen, Xiao Wu, Hai Tao Liu, Ming Hua Du, Shouguo Zhang, Yao-Hung Liu, Chin-Fu Lin, Chun-Yuan Wu
  • Patent number: 10191864
    Abstract: An I/O (Input/Output) adapter device can present itself as a storage backend driver with an emulated storage backend driver interface to a corresponding storage frontend driver executing from an operating system running on a host device independent of a virtualization or non-virtualization environment. For each guest operating system executing from its respective virtual machine running on the host device, para-virtualized (PV) frontend drivers can communicate with corresponding PV backend drivers implemented by the I/O adapter device using a corresponding virtual function by utilizing SR-IOV (single root I/O virtualization) functionality.
    Type: Grant
    Filed: November 12, 2015
    Date of Patent: January 29, 2019
    Assignee: Amazon Technologies, Inc.
    Inventors: Nafea Bshara, Georgy Machulsky, Anthony Nicholas Liguori
  • Patent number: 10163698
    Abstract: A method for manufacturing a semiconductor comprises: providing a substrate; forming an opening in a dielectric layer disposed over the substrate; providing a target with a first type atoms; ionizing the first type atoms provided from the target; providing a bias to the substrate for controlling the moving paths of the ionized first type atoms thereby directing the ionized first type atoms in the opening; and forming a first conductive structure from bottom of the opening with the ionized first type atoms under a pre-determined frequency and a pre-determined pressure.
    Type: Grant
    Filed: May 7, 2014
    Date of Patent: December 25, 2018
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Ching-Fu Yeh, Ming-Han Lee
  • Patent number: 10134629
    Abstract: A method for manufacturing a semiconductor structure includes the following steps. At first, a titanium layer is formed on a preformed layer. Then, a first titanium nitride layer is formed on the titanium layer. A first plasma treatment is applied to the first titanium nitride layer such that the first titanium nitride layer has a first N/Ti ratio. A second titanium nitride layer is formed on the first titanium nitride layer. A second plasma treatment is applied to the second titanium nitride layer such that the second titanium nitride layer has a second N/Ti ratio larger than the first N/Ti ratio.
    Type: Grant
    Filed: September 6, 2017
    Date of Patent: November 20, 2018
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Ching-Wen Hung, Yen-Tsai Yi, Wei-Chuan Tsai, En-Chiuan Liou, Chih-Wei Yang
  • Patent number: 10068846
    Abstract: Conductive contacts include a first conductor disposed within a first dielectric layer, the first conductor having a recessed area in least one surface. A second dielectric layer is formed over the first dielectric layer, comprising a trench positioned over the first conductor. A second conductor is formed in the trench and the recessed area to form a conductive contact with the first conductor.
    Type: Grant
    Filed: February 28, 2017
    Date of Patent: September 4, 2018
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Lawrence A. Clevenger, Roger A. Quon, Terry A. Spooner, Wei Wang, Chih-Chao Yang
  • Patent number: 9805747
    Abstract: Ionized physical vapor deposition (IPVD) is used to form a magnetic recording disk drive write head main pole with thin side gap layers and a thicker leading gap layer. A metal or metal alloy is formed by IPVD in a trench with a bottom and outwardly sloping sidewalls. An optional Ru seed layer is deposited on the metal or metal alloy. This is followed by atomic layer deposition (ALD) of a Ru smoothing layer. If the IPVD results in metal or metal alloy side gap layers with a rough surface, the ALD process is modified, resulting in a smooth Ru smoothing layer that does not replicate the rough surface of the side gap layers.
    Type: Grant
    Filed: August 17, 2015
    Date of Patent: October 31, 2017
    Assignee: Western Digital Technologies, Inc.
    Inventors: April D. Hixson-Goldsmith, Ning Shi, Kyusik Shin, Suping Song, Brian R. York
  • Patent number: 9799552
    Abstract: A semiconductor device and a method of fabricating a contact to interface with an interconnect in a semiconductor device are described. The device includes a dielectric layer formed on a semiconductor layer, and a contact fabricated in a via formed within the dielectric layer. An interconnect formed above the contact interfaces with an exposed surface of the contact opposite a surface closest to the semiconductor layer. The contact includes a contact material in a first portion of the contact and an interface metal in a second portion of the contact.
    Type: Grant
    Filed: November 23, 2015
    Date of Patent: October 24, 2017
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Stephen M. Gates, Gregory M. Fritz, Eric A. Joseph, Terry A. Spooner
  • Patent number: 9786603
    Abstract: Conductive contacts and methods of forming vias include forming a trench that penetrates a dielectric layer to expose a surface of an underlying conductor. Exposed surfaces of the dielectric layer and the exposed surface of the underlying conductor are nitridized to form a layer of nitridation at the exposed surfaces. The exposed surface of the underlying conductor is etched away to form a recessed area in the underlying conductor. A conductive via is formed in the trench and the recessed area that forms a conductive contact with the underlying conductor.
    Type: Grant
    Filed: September 22, 2016
    Date of Patent: October 10, 2017
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Lawrence A. Clevenger, Roger A. Quon, Terry A. Spooner, Wei Wang, Chih-Chao Yang
  • Patent number: 9773707
    Abstract: There is provided a method for manufacturing a semiconductor device, including: providing a semiconductor substrate having a plurality of openings formed thereon by removing a sacrificial gate; filling the openings with a top metal layer having compressive stress; and performing amorphous doping with respect to the top metal layer in a PMOS device region. Thus, it is possible to effectively improve carrier mobility of an NMOS device, and also to reduce the compressive stress in the PMOS device region to ensure a desired performance of the PMOS device.
    Type: Grant
    Filed: August 28, 2015
    Date of Patent: September 26, 2017
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Guilei Wang, Jinbiao Liu, Jianfeng Gao, Junfeng Li, Chao Zhao
  • Patent number: 9728502
    Abstract: A method is disclosed to form a metal-oxysilicate diffusion barrier for a damascene metallization. A trench is formed in an Inter Layer Dielectric (ILD) material. An oxysilicate formation-enhancement layer comprising silicon, carbon, oxygen, a constituent component of the ILD, or a combination thereof, is formed in the trench. A barrier seed layer is formed on the oxysilicate formation-enhancement layer comprising an elemental metal selected from a first group of elemental metals in combination with an elemental metal selected from a second group of elemental metals. An elemental metal in the second group is immiscible in copper or an alloy thereof, has a diffusion constant greater than a self-diffusion of copper or an alloy thereof; does not reducing silicon-oxygen bonds during oxysilicate formation; and promotes adhesion of copper or an alloy of copper to the metal-oxysilicate barrier diffusion layer. The structure is then annealed to form a metal-oxysilicate diffusion barrier.
    Type: Grant
    Filed: October 22, 2015
    Date of Patent: August 8, 2017
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Ganesh Hegde, Mark Rodder, Rwik Sengupta, Chris Bowen
  • Patent number: 9721943
    Abstract: A wiring structure may include at least two conductive material layers and a two-dimensional layered material layer in an interface between the at least two conductive material layers. The two-dimensional layered material layer may include a grain expander layer which causes grain size of a conductive material layer which is on the two-dimensional layered material layer to be increased. Increased grain size may result in resistance of the second conductive material layer to be reduced. As a result, the total resistance of the wiring structure may be reduced. The two-dimensional layered material layer may contribute to reducing a total thickness of the wiring structure. Thus, a low-resistance and high-performance wiring structure without an increase in a thickness thereof may be implemented.
    Type: Grant
    Filed: February 24, 2016
    Date of Patent: August 1, 2017
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Changseok Lee, Keunwook Shin, Hyeonjin Shin, Seongjun Park, Hyunjae Song, Hyangsook Lee, Yeonchoo Cho
  • Patent number: 8809145
    Abstract: Semiconductor arrays including a plurality of access devices disposed on a buried conductive line and methods for forming the same are provided. The access devices each include a transistor having a source region and drain region spaced apart by a channel region of opposite dopant type and an access line associated with the transistor. The access line may be electrically coupled with one or more of the transistors and may be operably coupled to a voltage source. The access devices may be formed in an array on one or more conductive lines. A system may be formed by integrating the semiconductor devices with one or more memory semiconductor arrays or conventional logic devices, such as a complementary metal-oxide-semiconductor (CMOS) device.
    Type: Grant
    Filed: July 2, 2013
    Date of Patent: August 19, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Sanh D. Tang, John K. Zahurak
  • Patent number: 8791018
    Abstract: The present method of forming an electronic structure includes providing a tantalum base layer and depositing a layer of copper on the tantalum layer, the deposition being undertaken by physical vapor deposition with the temperature of the base layer at 50° C. or less, with the deposition taking place at a power level of 300 W or less.
    Type: Grant
    Filed: December 19, 2006
    Date of Patent: July 29, 2014
    Assignee: Spansion LLC
    Inventors: Wen Yu, Stephen B. Robie, Jeremias D. Romero
  • Patent number: 8728951
    Abstract: A method of processing a substrate includes performing a first exposure that comprises generating a plasma containing reactive gas ions in a plasma chamber and generating a bias voltage between the substrate and the plasma chamber. The method also includes providing a plasma sheath modifier having an aperture disposed between the plasma and substrate and operable to direct the reactive gas ions toward the substrate, and establishing a pressure differential between the plasma chamber and substrate region while the reactive gas ions are directed onto the substrate.
    Type: Grant
    Filed: July 31, 2012
    Date of Patent: May 20, 2014
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Ludovic Godet, Xianfeng Lu, Deepak A. Ramappa
  • Patent number: 8729707
    Abstract: A method of manufacturing a semiconductor device includes forming an insulating film over a semiconductor substrate, forming a capacitor including a lower electrode, a capacitor dielectric film including a ferroelectric material, and an upper electrode over the insulating film, forming a first protective insulating film over a side surface and upper surface of the capacitor by a sputtering method, and forming a second protective insulating film over the first protective insulating film by an atomic layer deposition method.
    Type: Grant
    Filed: October 4, 2012
    Date of Patent: May 20, 2014
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Wensheng Wang
  • Patent number: 8586471
    Abstract: A method is disclosed for depositing multiple seed layers for metallic interconnects over a substrate, the substrate includes a patterned insulating layer which comprises an opening surrounded by a field, said opening has sidewalls and top corners, and the method including: depositing a continuous seed layer over the sidewalls, using a first set of deposition parameters; and depositing another seed layer over the substrate, including inside the opening and over a portion of said field, using a second set of deposition parameters, wherein: the second set of deposition parameters includes one deposition parameter which is different from any parameters in the first set, or whose value is different in the first and second sets; the continuous seed layer has a thickness in a range from about 20 ? to not more than 250 ? over the field; and the combined seed layers leave sufficient room for electroplating inside the opening.
    Type: Grant
    Filed: January 17, 2012
    Date of Patent: November 19, 2013
    Inventor: Uri Cohen
  • Publication number: 20130285158
    Abstract: Provided are a semiconductor device which enables reduction of diffusion of Si in the manufacturing process of an MIPS element and suppression of an increase in EOT, and a method of manufacturing the same. An embodiment of the present invention is a semiconductor device including a field effect transistor having a gate insulating film provided on a silicon substrate and a gate electrode provided on the gate insulating film. The gate electrode is a stack-type electrode including a conductive layer containing at least Ti, N, and O (oxygen) and a silicon layer provided on the conductive layer, and the concentration of oxygen in the conductive layer is highest in the side of the silicon layer.
    Type: Application
    Filed: July 26, 2012
    Publication date: October 31, 2013
    Applicant: CANON ANELVA CORPORATION
    Inventors: Naomu Kitano, Takashi Minami, Nobuo Yamaguchi, Takuya Seino, Takashi Nakagawa, Heiji Watanabe, Takayoshi Shimura, Takuji Hosoi
  • Patent number: 8501559
    Abstract: Semiconductor arrays including a plurality of access devices disposed on a buried conductive line and methods for forming the same are provided. The access devices each include a transistor having a source region and drain region spaced apart by a channel region of opposite dopant type and an access line associated with the transistor. The access line may be electrically coupled with one or more of the transistors and may be operably coupled to a voltage source. The access devices may be formed in an array on one or more conductive lines. A system may be formed by integrating the semiconductor devices with one or more memory semiconductor arrays or conventional logic devices, such as a complementary metal-oxide-semiconductor (CMOS) device.
    Type: Grant
    Filed: September 11, 2012
    Date of Patent: August 6, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Sanh D. Tang, John K. Zahurak
  • Patent number: 8486737
    Abstract: A thin film deposition apparatus and a method of manufacturing an organic light-emitting display device by using the same, and more particularly, to a thin film deposition apparatus that can remove a deposition material deposited on a patterning slit sheet without performing an additional cleaning process, and a method of manufacturing an organic light-emitting display device by using the thin film deposition apparatus.
    Type: Grant
    Filed: August 16, 2010
    Date of Patent: July 16, 2013
    Assignee: Samsung Display Co., Ltd.
    Inventors: Yun-Mi Lee, Yong-Sup Choi, Hyun-Sook Park, Jong-Heon Kim, Jae-Kwang Ryu, Young-Mook Choi
  • Patent number: 8466557
    Abstract: A solder bump confinement system is provided includes a substrate; a contact material patterned on the substrate; an inner passivation layer deposited over the contact material and the substrate; an under bump material pad over the contact material; an under bump material defining layer, having a bump opening contained therein, directly on the under bump material pad in which the under bump material defining layer has a thickness in the range of 200 Angstrom to 1500 Angstrom; and a system interconnect formed over the contact material and coupled to the under bump material defining layer and the under bump material pad through the bump opening.
    Type: Grant
    Filed: April 7, 2010
    Date of Patent: June 18, 2013
    Assignee: Stats Chippac Ltd.
    Inventors: Yaojian Lin, Pandi Chelvam Marimuthu, Rajendra D. Pendse
  • Patent number: 8338249
    Abstract: A method for manufacturing a semiconductor device comprises: forming a lower electrode on a semiconductor substrate, sputtering a ferroelectric film on the lower electrode using a target, thermal treating the ferroelectric film in an atmosphere containing oxygen in accordance with an accumulated period of use of the target for fabricating the ferroelectric film, and forming an upper electrode on the ferroelectric film.
    Type: Grant
    Filed: February 15, 2008
    Date of Patent: December 25, 2012
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Makoto Takahashi, Mitsushi Fujiki, Kenkichi Suezawa, Wensheng Wang, Ko Nakamura
  • Patent number: 8298936
    Abstract: Metal seed layers are deposited on a semiconductor substrate having recessed features by a method that involves at least three operations. In this method, a first layer of metal is deposited onto the substrate to cover at least the bottom portions of the recessed features. The first layer of metal is subsequently redistributed to improve sidewall coverage of the recessed features. Next, a second layer of metal is deposited on at least the field region of the substrate and on the bottom portions of the recessed features. The method can be implemented using a PVD apparatus that allows deposition and resputtering operations. This sequence of operations can afford seed layers with improved step coverage. It also leads to decreased formation of voids in interconnects, and to improved resistance characteristics of formed IC devices.
    Type: Grant
    Filed: February 3, 2010
    Date of Patent: October 30, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Robert Rozbicki, Bart van Schravendijk, Thomas Mountsier, Wen Wu
  • Patent number: 8278212
    Abstract: The present invention provides a method for manufacturing a semiconductor memory element including a chalcogenide material layer and an electrode layer, each having an improved adhesion, and a sputtering apparatus thereof. One embodiment of the present invention is the method for manufacturing a semiconductor memory element including: a first step of forming the chalcogenide material layer (113); and a second step of forming a second electrode layer (114b) on the chalcogenide material layer (113) by sputtering through the use of a mixed gas of a reactive gas and an inert gas, while applying a cathode voltage to a target. In the second step, introduction of the reactive gas is carried out at a flow rate ratio included in a hysteresis area (40) appearing in the relationship between a cathode voltage applied to the cathode and the flow rate ratio of the reactive gas in the mixed gas.
    Type: Grant
    Filed: April 29, 2011
    Date of Patent: October 2, 2012
    Assignee: Canon Anelva Corporation
    Inventors: Eisaku Watanabe, Tetsuro Ogata, Franck Ernult
  • Patent number: 8216933
    Abstract: A method of depositing a bilayer of tungsten over tungsten nitride by a plasma sputtering process in which krypton is used as the sputter working gas during the tungsten deposition. Argon may be used as the sputtering working gas during the reactive sputtering deposition of tungsten nitride. The beneficial effect of reduction of tungsten resistivity is increased when the thickness of the tungsten layer is less than 50 nm and further increased when less than 35 nm. The method may be used in forming a gate stack including a polysilicon layer over a gate oxide layer over a silicon gate region of a MOS transistor in which the tungsten nitride acts as a barrier. A plasma sputter chamber in which the invention may be practiced includes gas sources of krypton, argon, and nitrogen.
    Type: Grant
    Filed: August 31, 2010
    Date of Patent: July 10, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Wei D. Wang, Srinivas Gandikota, Kishore Lavu
  • Patent number: 8198104
    Abstract: A method of manufacturing a semiconductor device on a semiconductor substrate, includes the steps of forming a first metal film on a front surface of the semiconductor substrate; forming a second metal film on the surface of the first metal film; activating a surface of the second metal film to provide an activated surface; and forming a plated film on the activated surface by a wet plating method in a plating bath that includes a reducing agent that is oxidized during plating and that has a rate of oxidation, wherein the second metal film is a metal film mainly composed of a first substance that enhances the rate of oxidation of the reducing agent in the plating bath. Wet plating is preferably an electroless process.
    Type: Grant
    Filed: March 22, 2010
    Date of Patent: June 12, 2012
    Assignee: Fuji Electric Co., Ltd.
    Inventors: Yuichi Urano, Takayasu Horasawa
  • Patent number: 8158511
    Abstract: A method of depositing a metal seed layer with underlying barrier layer on a wafer substrate comprising a plurality of recessed device features. A first portion of the barrier layer is deposited on the wafer substrate without excessive build-up of barrier layer material on the openings to the plurality of recessed device features, while obtaining bottom coverage without substantial sputtering of the bottom surface. Subsequently, a metal seed layer is deposited using the same techniques used to deposit the barrier layer, to avoid excessive build up of metal seed layer material on the openings to the features, with minimal sputtering of the barrier layer surface.
    Type: Grant
    Filed: May 20, 2011
    Date of Patent: April 17, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Tony Chiang, Gongda Yao, Peijun Ding, Fusen E. Chen, Barry L. Chin, Gene Y. Kohara, Zheng Xu, Hong Zhang
  • Patent number: 8053364
    Abstract: This disclosure provides a method of fabricating a semiconductor device layer and an associated memory cell. Empirical data may be used to generate a hysteresis curve associated with metal oxide deposition for a metal-insulator-metal structure, with curve measurements reflecting variance of a desired electrical property as a function of cathode voltage used during a sputtering process that uses a biased target. By generating at least one voltage level to be used during the sputtering process, where the voltage reflects a suitable value for the electrical property from among the values obtainable in mixed-mode deposition, a semiconductor device layer may be produced with improved characteristics and durability. A multistable memory cell or array of such cells manufactured according to this process can, for a set of given materials (e.g.
    Type: Grant
    Filed: October 1, 2008
    Date of Patent: November 8, 2011
    Assignee: Intermolecular, Inc.
    Inventors: Wayne French, Pragati Kumar, Prashant Phatak, Tony Chiang
  • Patent number: 8039394
    Abstract: A method of forming a layer of alpha-tantalum on a substrate including the steps of depositing a layer of titanium nitride on a substrate; and depositing a layer of alpha-tantalum on the layer of titanium nitride, wherein the deposition of the alpha-tantalum is carried out at temperatures below about 300° C.
    Type: Grant
    Filed: June 26, 2009
    Date of Patent: October 18, 2011
    Assignee: Seagate Technology LLC
    Inventors: Ivan Petrov Ivanov, Wei Tian, Mallika Kamarajugadda, Paul E. Anderson
  • Patent number: 8030725
    Abstract: Apparatus and methods for detecting evaporation conditions in an evaporator for evaporating metal onto semiconductor wafers, such as GaAs wafers, are disclosed. One such apparatus can include a crystal monitor sensor configured to detect metal vapor associated with a metal source prior to metal deposition onto a semiconductor wafer. This apparatus can also include a shutter configured to remain in a closed position when the crystal monitor sensor detects an undesired condition, so as to prevent metal deposition onto the semiconductor wafer. In some implementations, the undesired condition can be indicative of a composition of a metal source, a deposition rate of a metal source, impurities of a metal source, position of a metal source, position of an electron beam, and/or intensity of an electron beam.
    Type: Grant
    Filed: October 5, 2010
    Date of Patent: October 4, 2011
    Assignee: Skyworks Solutions, Inc.
    Inventors: Lam T. Luu, Heather L. Knoedler, Richard S. Bingle, Daniel C. Weaver
  • Patent number: 8022448
    Abstract: Apparatus and methods for evaporating metal onto semiconductor wafers are disclosed. One such apparatus can include an evaporation chamber that includes a wafer holder, such as a dome, and a test wafer holder that is separate and spaced apart from the wafer holder. In certain implementations, the test wafer can be coupled to a cross beam supporting at least one shaper. A metal can be evaporated onto production wafers positioned in the wafer holder while metal is evaporated on a test wafer positioned in a test wafer holder. In some instances, the production wafers can be GaAs wafers. The test wafer can be used to make a quality assessment about the production wafers.
    Type: Grant
    Filed: October 5, 2010
    Date of Patent: September 20, 2011
    Assignee: Skyworks Solutions, Inc.
    Inventors: Lam T. Luu, Shiban K. Tiku, Richard S. Bingle, Jens A. Riege, Heather L. Knoedler, Daniel C. Weaver
  • Patent number: 8012789
    Abstract: A nonvolatile memory device, including a lower electrode on a semiconductor substrate, a phase change material pattern on the lower electrode, an adhesion pattern on the phase change material pattern and an upper electrode on the adhesion pattern, wherein the adhesion pattern includes a conductor including nitrogen.
    Type: Grant
    Filed: February 18, 2009
    Date of Patent: September 6, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Han-Bong Ko, Yong-Ho Ha, Doo-Hwan Park, Bong-Jin Kuh, Hee-Ju Shin
  • Patent number: 8008198
    Abstract: A method for fabricating a copper indium diselenide semiconductor film is provided using substrates having a copper and indium composite structure. The substrates are placed vertically in a furnace and a gas including a selenide species and a carrier gas are introduced. The temperature is increased from about 350° C. to about 450° C. to initiate formation of a copper indium diselenide film from the copper and indium composite on the substrates.
    Type: Grant
    Filed: September 28, 2009
    Date of Patent: August 30, 2011
    Assignee: Stion Corporation
    Inventor: Robert D. Wieting
  • Patent number: 7943506
    Abstract: A semiconductor device provided with: a first interconnection layer provided on a semiconductor substrate; an interlevel insulation film provided over the first interconnection layer; a second interconnection layer of gold provided as an uppermost interconnection layer on the interlevel insulation film; and a barrier layer provided between the first interconnection layer and the second interconnection layer in an interlevel connection opening formed in the interlevel insulation film. The barrier layer includes a first sublayer provided in contact with the first interconnection layer to reduce a contact resistance, a second sublayer provided in contact with the second interconnection layer to improve a bonding strength, and a third sublayer provided between the first sublayer and the second sublayer. The first sublayer, the second sublayer and the third sublayer are, for example, a first tantalum sublayer, a second tantalum sublayer and a tantalum nitride sublayer, respectively.
    Type: Grant
    Filed: March 28, 2008
    Date of Patent: May 17, 2011
    Assignee: Rohm Co., Ltd.
    Inventor: Goro Nakatani
  • Patent number: 7928573
    Abstract: A metal thin film used in fabricating a damascene interconnection of a semiconductor device which exhibits excellent high temperature fluidity during high pressure annealing, and which can fabricate an interconnection for a semiconductor device which has a low electric resistance and stable high quality is provided. Also provided is an interconnection for a semiconductor device. More specifically, a metal thin film for use as an interconnection of a semiconductor device comprising a Cu alloy containing N at a content of not less than 0.4 at % to not more than 2.0 at %; and an interconnection for a semiconductor device fabricated by forming the metal thin film on an insulator film which is formed on a semiconductor substrate and which has grooves formed therein, and filling the metal thin film in the interior of the grooves by a high pressure annealing process are provided.
    Type: Grant
    Filed: August 18, 2006
    Date of Patent: April 19, 2011
    Assignee: Kobe Steel, Ltd.
    Inventors: Takashi Onishi, Masao Mizuno, Mikako Takeda
  • Patent number: 7928575
    Abstract: In an electronic device comprising a first electrodes consisting of a metal oxide and a second electrode consisting of an aluminum alloy film directly contacted and electrically connected to the first electrode, the contact interface between the aluminum alloy film and the first electrode is constructed so that at least a part of alloy components constituting the aluminum alloy film exist as a precipitate or concentrated layer. This construction enables direct contact between the aluminum alloy film and the electrode consisting of a metallic oxide and allows elimination of a barrier metal in such an electronic device, and manufacturing technology therefor.
    Type: Grant
    Filed: May 14, 2009
    Date of Patent: April 19, 2011
    Assignee: Kobe Steel, Ltd.
    Inventors: Hiroshi Gotoh, Toshihiro Kugimiya, Junichi Nakai, Katsufumi Tomihisa
  • Patent number: 7915157
    Abstract: A chip structure comprises a substrate, a first built-up layer, a passivation layer and a second built-up layer. The substrate includes many electric devices placed on a surface of the substrate. The first built-up layer is located on the substrate. The first built-up layer is provided with a first dielectric body and a first interconnection scheme, wherein the first interconnection scheme interlaces inside the first dielectric body and is electrically connected to the electric devices. The first interconnection scheme is constructed from first metal layers and plugs, wherein the neighboring first metal layers are electrically connected through the plugs. The passivation layer is disposed on the first built-up layer and is provided with openings exposing the first interconnection scheme. The second built-up layer is formed on the passivation layer.
    Type: Grant
    Filed: February 2, 2008
    Date of Patent: March 29, 2011
    Assignee: Megica Corporation
    Inventors: Jin-Yuan Lee, Mou-Shiung Lin, Ching-Cheng Huang
  • Patent number: 7906433
    Abstract: A via hole is formed in the interlayer insulating film on a semiconductor substrate, the via hole reaching the bottom of the interlayer insulating film. A filling member fills a lower partial space in the via hole. A wiring trench continuous with the via hole as viewed in plan is formed, the wiring trench reaching partway in a thickness direction. The wiring trench is formed under the condition that an etching rate of the interlayer insulating film is faster than that of the filling member, in such a manner that a height difference between the upper surface of the filling member and the bottom of the wiring trench is half or less than half the maximum size of a plan shape of the via hole. The filling member in the via hole is removed. The inside of the via hole and wiring trench is filled with a conductive member.
    Type: Grant
    Filed: September 5, 2006
    Date of Patent: March 15, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Michio Oryoji, Hisaya Sakai
  • Patent number: 7884032
    Abstract: A system, method and apparatus is capable of producing layers of various materials stacked on one another on a substrate without exposing the substrate to the pressure and contaminants of ambient air until the stack is complete. In one aspect, the stack of layers can include both an insulative layer of one or more insulative films, and a conductive metal layer of one or more conductive metal layer films. In another aspect, a bias signal of positive and negative voltage pulses may be applied to a target of a deposition chamber to facilitate deposition of the target material in a suitable fashion. In yet another aspect, one or more of the deposition chambers may have associated therewith a pump which combines a turbomolecular pump and a cryogenic pump to generate an ultra high vacuum in that chamber. Other features are described and claimed.
    Type: Grant
    Filed: October 28, 2005
    Date of Patent: February 8, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Mengqi Ye, Peijun Ding, Hougong Wang, Zhendong Liu
  • Patent number: 7855147
    Abstract: Copper seed layers are formed on diffusion barrier layers (e.g., on Ta, and TaNx layers) without significant agglomeration of copper, with the use of an engineered barrier layer/seed layer interface. The engineered interface includes an adhesion layer, in which copper atoms are physically trapped and are prevented from migrating and agglomerating. The adhesion layer can include between about 20-80% atomic of copper. The copper atoms of the adhesion layer are exposed during deposition of a copper seed layer and serve as the nucleation sites for the deposited copper. Thin, continuous, and conformal seed layers can be deposited on top of the adhesion layer. The trapping of copper within the adhesion layer is achieved by intermixing diffusion barrier and seed layer materials using PVD and/or ALD.
    Type: Grant
    Filed: May 24, 2007
    Date of Patent: December 21, 2010
    Assignee: Novellus Systems, Inc.
    Inventors: Alexander Dulkin, Asit Rairkar, Frank Greer, Anshu A. Pradhan, Robert Rozbicki
  • Patent number: 7846824
    Abstract: Methods for forming titanium nitride layers are provided herein. In some embodiments, a method of forming a titanium nitride layer on a substrate may include providing a substrate into a processing chamber having a target comprising titanium disposed therein; supplying a nitrogen-containing gas into the processing chamber; sputtering a titanium source material from the target in the presence of a plasma formed from the nitrogen-containing gas to deposit a titanium nitride layer on the substrate; and upon depositing the titanium nitride layer to a desired thickness, forming a magnetic field that biases ions in the processing chamber away from the substrate.
    Type: Grant
    Filed: March 18, 2008
    Date of Patent: December 7, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Keyvan Kashefizadeh, Zhigang Xie, Ashish S. Bodke, Mei Chang
  • Patent number: 7816191
    Abstract: By using a high purity target as a target, using a single gas, argon (Ar), as a sputtering gas, setting the substrate temperature at 300° C. or less, setting the sputtering power from 1 kW to 9 kW, and setting the sputtering gas pressure from 1.0 Pa to 3.0 Pa, the film stress of a film is made from ?1 ×1010cm2 to 1×1010 dyn/cm2. By thus using a conducting film in which the amount of sodium contained within the film is equal to or less than 0.3 ppm, preferably equal to or less than 0.1 ppm, and having a low electrical resistivity (equal to or less than 40 ??•cm), as a gate wiring material and a material for other wirings of a TFT, the operating performance and the reliability of a semiconductor device provided with the TFT can be increased.
    Type: Grant
    Filed: April 13, 2007
    Date of Patent: October 19, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Toru Takayama, Keiji Sato, Shunpei Yamazaki