Of Conductive Layer (epo) Patents (Class 257/E21.161)
  • Patent number: 10333059
    Abstract: The disclosed technology generally relates to forming a semiconductor structure and more particularly to forming a stack of layers of a semiconductor structure using a sacrificial layer that is removed during deposition of a functional layer. In one aspect, the disclosed technology relates to a method of protecting a top surface of a layer in a semiconductor structure. The method comprises: providing the layer on a substrate, the layer having an initial thickness and an initial composition; forming a sacrificial metal layer on and in contact with the layer, the sacrificial metal layer comprising a light metal element; and depositing by physical vapor deposition a functional metal layer on and in contact with the sacrificial metal layer. The sacrificial metal layer is removed by sputtering during the deposition of the functional metal layer, such that an interface is formed between the layer and the functional metal layer.
    Type: Grant
    Filed: March 24, 2016
    Date of Patent: June 25, 2019
    Assignee: IMEC vzw
    Inventors: Johan Swerts, Sofie Mertens
  • Patent number: 8872284
    Abstract: A gate stressor for a fin field effect transistor (FinFET) device is provided. The gate stressor includes a floor, a first stressor sidewall, and a second stressor sidewall. The floor is formed on a first portion of a gate layer. The gate layer is disposed above a shallow trench isolation (STI) region. The first stressor sidewall formed on a second portion of the gate layer. The second portion of the gate layer is disposed on sidewalls of a fin. The second stressor sidewall formed on the third portion of the gate layer. The third portion of the gate layer is disposed on sidewalls of a structure spaced apart from the fin. The first stressor side wall and the second stressor sidewall do not exceed a height of the fin.
    Type: Grant
    Filed: March 20, 2012
    Date of Patent: October 28, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Andrew Joseph Kelly, Yasutoshi Okuno, Pei-Shan Chien, Wei-Hsiung Tseng
  • Publication number: 20140126290
    Abstract: The disclosure is related to memory arrays and methods. One such memory array has a substantially vertical pillar. A memory cell adjacent to the pillar where the pillar has a first size has a greater channel length than a memory cell adjacent to the pillar where the pillar has a second size larger than the first size.
    Type: Application
    Filed: November 2, 2012
    Publication date: May 8, 2014
    Applicant: MICRON TECEHNOLOGY, INC
    Inventors: Koji Sakui, Peter Feeley
  • Patent number: 8685850
    Abstract: According to one embodiment of the invention, the gate contact is formed by a selective deposition on the gate electrode. One acceptable technique for the selective deposition is by plating. Plating is one process by which a metal structure, such as a gate contact, may be formed directly on the gate electrode. The plating is carried out by immersing the semiconductor die in a plating solution with the gate electrode exposed. The gate contact is plated onto the gate electrode and thus is ensured of being fully aligned exactly to the gate electrode. After this, the appropriate dielectric layers are formed adjacent the gate contact and over the source and drain to ensure that the gate electrode is electrically isolated from other components of the transistor.
    Type: Grant
    Filed: June 12, 2012
    Date of Patent: April 1, 2014
    Assignees: STMicroelectronics, Inc., International Business Machines Corporation
    Inventors: John H. Zhang, Lawrence A. Clevenger, Carl J. Radens, Yiheng Xu
  • Patent number: 8597978
    Abstract: A method for forming a semiconductor device includes physically attaching a first semiconductor die to front surface of a first substrate. The first die is electrically connected to routings on front surface of the first substrate. The routings are electrically connected with conductive pads on back surface of the first substrate. A second semiconductor die is physically attached to front surface of a second substrate. The die is electrically connected to routings on front surface of second substrate. These routings are electrically connected with conductive pads on front surface of the second substrate. A third semiconductor die is physically attached to the second die. The third die is electrically attached to the second die through a plurality of through substrate vias (TSVs) within the second die. The conductive pads on back surface of first substrate are electrically connected to the conductive pads on front surface of second substrate.
    Type: Grant
    Filed: May 17, 2012
    Date of Patent: December 3, 2013
    Assignee: Texas Instruments Incorporated
    Inventors: Kurt Wachtler, Margaret Rose Simmons-Matthews
  • Patent number: 8592307
    Abstract: A multi-layer TiN film with reduced tensile stress and discontinuous grain structure, and a method of fabricating the TiN film are disclosed. The TiN layers are formed by PVD or IMP in a nitrogen plasma. Tensile stress in a center layer of the film is reduced by increasing N2 gas flow to the nitrogen plasma, resulting in a Ti:N stoichiometry between 1:2.1 to 1:2.3. TiN films thicker than 40 nanometers without cracks are attained by the disclosed process.
    Type: Grant
    Filed: August 23, 2012
    Date of Patent: November 26, 2013
    Assignee: Texas Instruments Incorporated
    Inventors: Gregory Charles Herdt, Joseph W. Buckfeller
  • Publication number: 20130249019
    Abstract: A gate stressor for a fin field effect transistor (FinFET) device is provided. The gate stressor includes a floor, a first stressor sidewall, and a second stressor sidewall. The floor is formed on a first portion of a gate layer. The gate layer is disposed above a shallow trench isolation (STI) region. The first stressor sidewall formed on a second portion of the gate layer. The second portion of the gate layer is disposed on sidewalls of a fin. The second stressor sidewall formed on the third portion of the gate layer. The third portion of the gate layer is disposed on sidewalls of a structure spaced apart from the fin. The first stressor side wall and the second stressor sidewall do not exceed a height of the fin.
    Type: Application
    Filed: March 20, 2012
    Publication date: September 26, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Andrew Joseph Kelly, Yasutoshi Okuno, Pei-Shan Chien, Wei-Hsiung Tseng
  • Publication number: 20130189840
    Abstract: Methods for forming a contact metal layer in a contact structure in semiconductor devices are provided in the present invention. In one embodiment, a method for depositing a contact metal layer for forming a contact structure in a semiconductor device includes pulsing a deposition precursor gas mixture to a surface of a substrate disposed in a metal deposition processing chamber, pulsing a purge gas mixture to an edge of the substrate, wherein the purge gas mixture includes at least a hydrogen containing gas and an inert gas, and forming a contact metal layer on the substrate from the first deposition precursor gas mixture.
    Type: Application
    Filed: January 23, 2012
    Publication date: July 25, 2013
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Xinyu Fu, Srinivas Gandikota, Sang Ho Yu, Kavita Shah, Yu Lei
  • Publication number: 20130154096
    Abstract: In a manufacturing method of a barrier layer, a via hole is formed in an insulating layer that covers a conductive layer over a substrate, and then the barrier layer is formed in the via hole. The barrier layer is provided by forming a second titanium nitride film after forming a first titanium nitride film. The second titanium nitride film is formed using a method having a weak anisotropy than the first titanium nitride film.
    Type: Application
    Filed: November 6, 2012
    Publication date: June 20, 2013
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Elpida Memory, Inc.
  • Publication number: 20130095658
    Abstract: A metal organic chemical vapor deposition (MOCVD) method and apparatus are provided. The MOCVD method includes: providing a substrate, in which a metal-based material layer is disposed on a first surface of the substrate; putting the substrate on a base in a chamber, in which the metal-based material layer is between the substrate and the base; and performing a MOCVD process on a second surface opposite to the first surface. The difference in thermal conductivity between the metal-based material layer and the substrate is in the range of 1 W/m° C. to 20 W/m° C., and the thermal expansion coefficients of the metal-based material layer and the substrate are of the same order.
    Type: Application
    Filed: May 13, 2012
    Publication date: April 18, 2013
    Applicant: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE
    Inventors: Chih-Yung Huang, Szu-Hao Chen, Ching-Chiun Wang, Chien-Chih Chen
  • Publication number: 20130005146
    Abstract: The present disclosure provides a multilayered cap (i.e., migration barrier) that conforms to the substrate (i.e., interconnect structure) below. The multilayered cap, which can be located atop at least one interconnect level of an interconnect structure, includes, from bottom to top, a first layer comprising silicon nitride and a second layer comprising at least one of boron nitride and carbon boron nitride.
    Type: Application
    Filed: September 12, 2012
    Publication date: January 3, 2013
    Applicants: Applied Materials, Inc., International Business Machines Corporation
    Inventors: Mihaela Balseanu, Stephan A. Cohen, Alfred Grill, Thomas J. Haigh, JR., Son Nguyen, Li-Qun Xia
  • Publication number: 20120322258
    Abstract: A multi-layer TiN film with reduced tensile stress and discontinuous grain structure, and a method of fabricating the TiN film are disclosed. The TiN layers are formed by PVD or IMP in a nitrogen plasma. Tensile stress in a center layer of the film is reduced by increasing N2 gas flow to the nitrogen plasma, resulting in a Ti:N stoichiometry between 1:2.1 to 1:2.3. TiN films thicker than 40 nanometers without cracks are attained by the disclosed process.
    Type: Application
    Filed: August 23, 2012
    Publication date: December 20, 2012
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Gregory Charles HERDT, Joseph W. BUCKFELLER
  • Publication number: 20120292721
    Abstract: A method of fabricating a semiconductor device includes following steps. A substrate is provided, wherein a first dielectric layer having a trench therein is formed on the substrate, a source/drain region is formed in the substrate at two sides of the trench, and a second dielectric layer is formed on the substrate in the trench. A first physical vapor deposition process is performed to form a Ti-containing metal layer in the trench. A second physical vapor deposition process is performed to form an Al layer on the Ti-containing metal layer in the trench. A thermal process is performed to anneal the Ti-containing metal layer and the Al layer so as to form a work function metal layer. A metal layer is formed to fill the trench.
    Type: Application
    Filed: May 17, 2011
    Publication date: November 22, 2012
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Hsin-Fu Huang, Kun-Hsien Lin, Chi-Mao Hsu, Min-Chuan Tsai, Tzung-Ying Lee, Chin-Fu Lin
  • Patent number: 8288849
    Abstract: A semiconductor device including a first memory die having a first memory type, a second memory die having a second memory type different from the first memory type, and a logic die such as a microprocessor. The first memory die can be electrically connected to the logic die using a first type of electrical connection preferred for the first memory type. The second memory die can be electrically connected to the logic die using a second type of electrical connection different from the first type of electrical connection which is preferred for the second memory type. Other devices can include dies all of the same type, or two or more dies of a first type and two or more dies of a second type different from the first type.
    Type: Grant
    Filed: May 7, 2010
    Date of Patent: October 16, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Kurt Wachtler, Margaret Rose Simmons-Matthews
  • Patent number: 8288274
    Abstract: A noble metal layer is formed using ozone (O3) as a reaction gas.
    Type: Grant
    Filed: December 30, 2008
    Date of Patent: October 16, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventors: Deok-Sin Kil, Kee-Jeung Lee, Young-Dae Kim, Jin-Hyock Kim, Kwan-Woo Do, Kyung-Woong Park, Jeong-Yeop Lee, Ja-Yong Kim
  • Publication number: 20120244701
    Abstract: The method for the formation of a silicide film herein provided comprises the steps of forming an Ni film on the surface of a substrate mainly composed of Si and then heat-treating the resulting Ni film to thus form an NiSi film as an upper layer of the substrate, wherein, prior to the heat-treatment for the formation of the NiSi film, the Ni film is subjected to a preannealing treatment using H2 gas at a temperature which is less than the heat-treatment temperature and which never causes the formation of any NiSi film in order to remove any impurity present in the Ni film, and the resulting Ni film is then subjected to a silicide-annealing treatment to thus form the NiSi film.
    Type: Application
    Filed: March 19, 2012
    Publication date: September 27, 2012
    Applicant: ULVAC, INC.
    Inventors: Yasushi Higuchi, Toshimitsu Uehigashi, Kazuhiro Sonoda, Harunori Ushikawa, Naoki Hanada
  • Publication number: 20120238088
    Abstract: A method for fabricating metal gates using a gate-last process, comprising: providing a substrate (20), the substrate comprising a gate trench (30); performing at least one metal layer deposition and one annealing on the surface of the substrate to fill a metal layer (32) in the gate trench; and removing the metal layer outside of the gate trench. This method can reduce the parasitic resistance of the gates and improve the reliability of the transistors.
    Type: Application
    Filed: September 28, 2011
    Publication date: September 20, 2012
    Inventors: Jinjuan Xiang, Wenwu Wang
  • Publication number: 20120196440
    Abstract: Material is deposited in a desired pattern by spontaneous deposition of precursor gas at regions of a surface that are prepared using a beam to provide conditions to support the initiation of the spontaneous reaction. One the reaction is initiated, it continues in the absence of the beam at the regions of the surface at which the reaction was initiated.
    Type: Application
    Filed: January 30, 2011
    Publication date: August 2, 2012
    Applicant: FEI COMPANY
    Inventors: Aurelien Philippe Jean Maclou Botman, Steven Randolph, Milos Toth
  • Publication number: 20120161322
    Abstract: The present invention provides an electronic component manufacturing method including a step of embedding a metal film. An embodiment of the present invention includes a first step of depositing a barrier layer containing titanium nitride on an object to be processed on which a concave part is formed and a second step of filling a low-melting-point metal directly on the barrier layer under a temperature condition allowing the low-melting-point metal to flow, by a PCM sputtering method while forming a magnetic field by a magnet unit including plural magnets which are arranged at grid points of a polygonal grid so as to have different polarities between the neighboring magnets.
    Type: Application
    Filed: June 20, 2011
    Publication date: June 28, 2012
    Applicant: CANON ANELVA CORPORATION
    Inventors: Shunichi Wakayanagi, Takayuki Saito, Takuya Seino, Akira Matsuo, Koji Yamazaki, Eitaro Morimoto, Yohsuke Shibuya, Yu Sato, Naomu Kitano
  • Publication number: 20120122311
    Abstract: An electroless plated metal layer formation method for forming a metal layer on a diode chip/wafer for wire bonding is disclosed to include the step of forming a metal base material on a diode chip/wafer adapted for inducing a reduction system to cause a catalytic reaction at location(s) where the desired metal layer is to be formed, and the step of employing an electroless plating process to form a metal layer on the diode chip/wafer that surrounds the metal base material. An isolation layer may be formed on the metal base layer and opening(s) may be formed on the isolation layer before deposition of the metal layer.
    Type: Application
    Filed: January 26, 2012
    Publication date: May 17, 2012
    Inventor: Chun-Pin CHEN
  • Publication number: 20120100710
    Abstract: A method and apparatus for manufacturing a semiconductor device is disclosed, which is capable of realizing an extension of a cleaning cycle for a processing chamber, the method comprising preheating a substrate; placing the preheated substrate onto a substrate-supporting unit provided in a susceptor while the preheated substrate is maintained at a predetermined height from an upper surface of the susceptor provided in a processing chamber; and forming a thin film on the preheated substrate, wherein a temperature of the preheated substrate is higher than a processing temperature for forming the thin film in the processing chamber.
    Type: Application
    Filed: January 4, 2012
    Publication date: April 26, 2012
    Applicant: JUSUNG ENGINEERING CO., LTD.
    Inventors: Sang Ki PARK, Seong Ryong HWANG, Geun Tae CHO
  • Patent number: 8133811
    Abstract: A semiconductor device, which suppresses formation of an organic impurity layer and has excellent adhesiveness to a copper film and a metal to be a base, is manufactured. A substrate (wafer W) coated with a barrier metal layer (base film) 13 formed of a metal having a high oxidation tendency, such as titanium, is placed in a processing chamber. At the time of starting to supply water vapor or after that, a material gas containing an organic compound of copper (for instance, Cu(hfac)TMVS) is supplied, and a copper film is formed on the surface of the barrier metal layer 13 whereupon the oxide layer 13a is formed by the water vapor. Then, heat treatment is performed on the wafer W, and the oxide layer 13a is converted into an alloy layer 13b of a metal and copper which constitute the barrier metal layer 13.
    Type: Grant
    Filed: June 15, 2007
    Date of Patent: March 13, 2012
    Assignee: Tokyo Electrcn Limited
    Inventors: Yasuhiko Kojima, Taro Ikeda, Tatsuo Hatano
  • Publication number: 20120003833
    Abstract: Methods for forming tungsten-containing layers on substrates are provided herein. In some embodiments, a method for forming a tungsten-containing layer on a substrate disposed in a process chamber may include mixing hydrogen and a hydride to form a first process gas; introducing the first process gas to the process chamber; exposing the substrate in the process chamber to the first process gas for a first period of time to form a conditioned substrate surface; subsequently purging the process chamber of the first process gas; exposing the substrate to a second process gas comprising a tungsten precursor for a second period of time to form a tungsten-containing nucleation layer atop the conditioned substrate surface; and subsequently purging the process chamber of the second process gas.
    Type: Application
    Filed: June 29, 2011
    Publication date: January 5, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: AMIT KHANDELWAL, KAI WU, EMILY RENUART, JINQIU CHEN, AVGERINOS V. GELATOS
  • Patent number: 8062956
    Abstract: Methods and apparatus for producing a semiconductor on glass (SOG) structure include: bringing a first surface of a glass substrate into direct or indirect contact with a semiconductor wafer; heating at least one of the glass substrate and the semiconductor wafer such that a second surface of the glass substrate, opposite to the first surface thereof, is at a lower temperature than the first surface; applying a voltage potential across the glass substrate and the semiconductor wafer; and maintaining the contact, heating and voltage to induce an anodic bond between the semiconductor wafer and the glass substrate via electrolysis.
    Type: Grant
    Filed: August 26, 2009
    Date of Patent: November 22, 2011
    Assignee: Corning Incorporated
    Inventor: James Gregory Couillard
  • Patent number: 8022448
    Abstract: Apparatus and methods for evaporating metal onto semiconductor wafers are disclosed. One such apparatus can include an evaporation chamber that includes a wafer holder, such as a dome, and a test wafer holder that is separate and spaced apart from the wafer holder. In certain implementations, the test wafer can be coupled to a cross beam supporting at least one shaper. A metal can be evaporated onto production wafers positioned in the wafer holder while metal is evaporated on a test wafer positioned in a test wafer holder. In some instances, the production wafers can be GaAs wafers. The test wafer can be used to make a quality assessment about the production wafers.
    Type: Grant
    Filed: October 5, 2010
    Date of Patent: September 20, 2011
    Assignee: Skyworks Solutions, Inc.
    Inventors: Lam T. Luu, Shiban K. Tiku, Richard S. Bingle, Jens A. Riege, Heather L. Knoedler, Daniel C. Weaver
  • Publication number: 20110169059
    Abstract: Embodiments of the present invention describe a method of forming nickel sulfide layer on a semiconductor device. A nickel sulfide layer is formed on a substrate by alternatingly exposing the substrate to a nickel-containing precursor and a sulfur-containing precursor.
    Type: Application
    Filed: March 18, 2011
    Publication date: July 14, 2011
    Inventors: Scott Bruce Clendenning, Niloy Mukherjee, Ravi Pillarisetty
  • Patent number: 7927996
    Abstract: Methods of forming transparent conducting oxides and devices formed by these methods are shown. Monolayers that contain tungsten and monolayers that contain indium are deposited onto a substrate and subsequently processed to form tungsten-doped indium oxide. The resulting transparent conducing oxide includes properties such as an amorphous or nanocrystalline microstructure. Devices that include transparent conducing oxides formed with these methods have better step coverage over substrate topography and more robust film mechanical properties.
    Type: Grant
    Filed: February 13, 2007
    Date of Patent: April 19, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 7859059
    Abstract: There is provided a semiconductor device having excellent device characteristics and reliability in which Vth values of an nMOS transistor and a pMOS transistor are controlled to be values necessary for a low-power device. The semiconductor device includes a pMOS transistor and an nMOS transistor formed by using an SOI substrate. The pMOS transistor is a fully depleted MOS transistor including a first gate electrode comprising at least one type of crystalline phase selected from the group consisting of a WSi2 crystalline phase, an MoSi2 crystalline phase, an NiSi crystalline phase, and an NiSi2 crystalline phase as silicide region (1). The nMOS transistor is a fully depleted MOS transistor comprising at least one type of crystalline phase selected from the group consisting of a PtSi crystalline phase, a Pt2Si crystalline phase, an IrSi crystalline phase, an Ni2Si crystalline phase, and an Ni3Si crystalline phase as silicide region (2).
    Type: Grant
    Filed: July 23, 2007
    Date of Patent: December 28, 2010
    Assignee: NEC Corporation
    Inventor: Kensuke Takahashi
  • Patent number: 7855147
    Abstract: Copper seed layers are formed on diffusion barrier layers (e.g., on Ta, and TaNx layers) without significant agglomeration of copper, with the use of an engineered barrier layer/seed layer interface. The engineered interface includes an adhesion layer, in which copper atoms are physically trapped and are prevented from migrating and agglomerating. The adhesion layer can include between about 20-80% atomic of copper. The copper atoms of the adhesion layer are exposed during deposition of a copper seed layer and serve as the nucleation sites for the deposited copper. Thin, continuous, and conformal seed layers can be deposited on top of the adhesion layer. The trapping of copper within the adhesion layer is achieved by intermixing diffusion barrier and seed layer materials using PVD and/or ALD.
    Type: Grant
    Filed: May 24, 2007
    Date of Patent: December 21, 2010
    Assignee: Novellus Systems, Inc.
    Inventors: Alexander Dulkin, Asit Rairkar, Frank Greer, Anshu A. Pradhan, Robert Rozbicki
  • Publication number: 20100261350
    Abstract: Methods of forming thin metal-containing films by chemical phase deposition, particularly atomic layer deposition (ALD) and chemical vapor deposition (CVD), are provided. The methods comprise delivering at least one organometallic precursor to a substrate, wherein the at least one precursor corresponds in structure to Formula (II); wherein: M is Ru, Fe or Os; R is Q-C10-alkyl; X is C1-C10-alkyl; and n is zero, 1, 2, 3, 4 or 5. Further provided are methods of making precursors disclosed herein.
    Type: Application
    Filed: July 24, 2008
    Publication date: October 14, 2010
    Applicant: SIGMA-ALDRICH CO.
    Inventors: Ravi Kanjolia, Rajesh Odedra, Neil Boag, David Weyburne
  • Publication number: 20100255664
    Abstract: A number of methods are provided for semiconductor processing. One such method includes depositing a first precursor material on a surface at a particular temperature to form an undoped polysilicon. The method also includes depositing a second precursor material on a surface of the undoped polysilicon at substantially the same temperature, wherein the undoped polysilicon serves as a seed to accelerate forming a doped polysilicon.
    Type: Application
    Filed: April 2, 2009
    Publication date: October 7, 2010
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Anish Khandekar, Ervin T. Hill, Jixin Yu, Jeffrey B. Hull
  • Publication number: 20100240213
    Abstract: A method of manufacturing a semiconductor device on a semiconductor substrate, includes the steps of forming a first metal film on a front surface of the semiconductor substrate; forming a second metal film on the surface of the first metal film; activating a surface of the second metal film to provide an activated surface; and forming a plated film on the activated surface by a wet plating method in a plating bath that includes a reducing agent that is oxidized during plating and that has a rate of oxidation, wherein the second metal film is a metal film mainly composed of a first substance that enhances the rate of oxidation of the reducing agent in the plating bath. Wet plating is preferably an electroless process.
    Type: Application
    Filed: March 22, 2010
    Publication date: September 23, 2010
    Applicant: FUJI ELECTRIC SYSTEMS CO., LTD.
    Inventors: Yuichi Urano, Takayasu Horasawa
  • Publication number: 20100210099
    Abstract: Methods of forming a metal silicide layer are provided that include exposing polysilicon through just dry etching (JDE) and recessesing an oxide layer through chemical dry etching (CDE). In particular, dry etching is primarily performed to an extent to expose the polysilicon. Then, CDE is secondarily performed to expose the polysilicon. The CDE process includes selecting an etchant source among combinations of NF3 and NH3, HF and NH3, and N2, H2, and NF3, dissociating the etchant source, forming an etchant of NH4F and NH4F.HF through the dissociation, producing solid by-products of (NH4)2SiF6 through the reaction between the etchant and an oxide at a low temperature, and annealing the by-products at a high temperature such that the by-products are sublimated into gas-phase SiF4, NH3, and HF.
    Type: Application
    Filed: February 12, 2010
    Publication date: August 19, 2010
    Inventors: Won-Goo Hur, Kyu-Tae Na, Min Kim, Hyun-Young Kim, Je-Hyeon Park
  • Publication number: 20100163937
    Abstract: Embodiments of the present invention describe a method of forming nickel sulfide layer on a semiconductor device. A nickel sulfide layer is formed on a substrate by alternatingly exposing the substrate to a nickel-containing precursor and a sulfur-containing precursor.
    Type: Application
    Filed: December 31, 2008
    Publication date: July 1, 2010
    Inventors: Scott Bruce Clendenning, Niloy Mukherjee, Ravi Pillarisetty
  • Patent number: 7745332
    Abstract: Recessed features on a Damascene substrate are filled with metal using plasma PVD. Recessed features having widths of less than about 300 nm, e.g., between about 30-300 nm can be filled with metals (e.g., copper and aluminum), without forming voids. In one approach, the deposition is performed by exposing the substrate to a high-density plasma characterized by high fractional ionization of metal. Under these conditions, the metal is deposited within the recess, without forming large overhang at the opening of the recess. In some embodiments, the metal is deposited within the recess, while diffusion barrier material is simultaneously etched from the field region. In a second approach, recessed features are filled by performing a plurality of profiling cycles, wherein each cycle includes a net etching and a net depositing operation. Etching and depositing parameters are adjusted such that the recessed features are filled without forming overhangs and voids.
    Type: Grant
    Filed: February 29, 2008
    Date of Patent: June 29, 2010
    Assignee: Novellus Systems, Inc.
    Inventors: Roey Shaviv, Alexander Dulkin, Neil Mackie, Daniel Juliano, Robert Rozbicki
  • Patent number: 7713868
    Abstract: A method for forming a strained metal nitride film and a semiconductor device containing the strained metal nitride film. The method includes exposing a substrate to a gas containing a metal precursor, exposing the substrate to a gas containing a nitrogen precursor activated by a plasma source at a first level of plasma power and configured to react with the metal precursor with a first reactivity characteristic, and exposing the substrate to a gas containing the nitrogen precursor activated by the plasma source at a second level of plasma power different from the first level and configured to react with the metal precursor with a second reactivity characteristic such that a property of the metal nitride film formed on the substrate changes to provide the strained metal nitride film.
    Type: Grant
    Filed: March 30, 2007
    Date of Patent: May 11, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Robert D. Clark
  • Publication number: 20100055806
    Abstract: A compact large density memory piezoactuated storage device and process for its fabrication provides an integrated microelectromechanical (MEMS) and/or nanoelectromechanical (NEMS) system and structure that features an integrated large density array of nanotips made of wear-resistant conductive ultrananocrystalline diamond (UNCD) in which the tips are actuated via a piezoelectric thin film integrated with the UNCD tips. The tips of the special piezoactuated storage device effectively contact an underlying metal layer (top electrode) deposited on a polarizable ferroelectric layer that is grown on top of another metal layer (bottom electrode) to form a ferroelectric capacitor. Information is imprinted in the ferroelectric layer by the polarization induced by the application of a voltage pulse between the top and bottom electrodes through the conductive UNCD tips.
    Type: Application
    Filed: September 10, 2009
    Publication date: March 4, 2010
    Inventor: Orlando H. Auciello
  • Publication number: 20090315093
    Abstract: Methods of forming metal carbide films are provided. In some embodiments, a substrate is exposed to alternating pulses of a transition metal species and an aluminum hydrocarbon compound, such as TMA, DMAH, or TEA. The aluminum hydrocarbon compound is selected to achieve the desired properties of the metal carbide film, such as aluminum concentration, resistivity, adhesion and oxidation resistance. In some embodiments, the methods are used to form a metal carbide layer that determines the work function of a control gate in a flash memory.
    Type: Application
    Filed: April 15, 2009
    Publication date: December 24, 2009
    Applicant: ASM AMERICA, INC.
    Inventors: Dong LI, Steven MARCUS, Suvi P. HAUKKA, Wei-Min LI
  • Patent number: 7622375
    Abstract: Provided are a method of manufacturing an electrically conductive member having excellent properties and such electrically conductive member. A method of manufacturing an electrically conductive member having an electrically conductive film on a surface of a substrate, comprising the steps of: (i) forming a layer containing a colloid on a porous surface of a substrate having at least the porous surface by applying a colloidal solution and (ii) forming an electrically conductive layer by drying the layer containing the colloid.
    Type: Grant
    Filed: March 28, 2003
    Date of Patent: November 24, 2009
    Assignee: Canon Kabushiki Kaisha
    Inventors: Hiroki Kisu, Keiichi Murai, Naotoshi Miyamachi
  • Patent number: 7611920
    Abstract: A room temperature operation polycrystalline infrared responsive photodetector, manufactured by a process, comprising the steps of patterning vacuum-deposited material and dry-etching a photonic crystal structure with resonant coupling tuned to long wavelengths.
    Type: Grant
    Filed: November 17, 2006
    Date of Patent: November 3, 2009
    Assignee: BAE Systems Information and Electronic Systems Integration Inc.
    Inventor: Steven R. Jost
  • Publication number: 20090269921
    Abstract: An electronic device having a structure of an ohmic connection to a carbon element cylindrical structure body, wherein a metal material is positioned inside the junction part of a carbon element cylindrical structure body joined to a connection objective and the carbon element cylindrical structure body and the connection objective are connected by an ohmic contact. Methods for producing such an electronic device are also disclosed. Further, a method for growing a carbon nanotube is disclosed.
    Type: Application
    Filed: July 8, 2009
    Publication date: October 29, 2009
    Applicant: FUJITSU LIMITED
    Inventors: Akio Kawabata, Mizuhisa Nihei
  • Publication number: 20090194839
    Abstract: A high-density memory array. A plurality of word lines and a plurality of bit lines are arranged to access a plurality of memory cells. Each memory cell includes a first conductive terminal and an article in physical and electrical contact with the first conductive terminal, the article comprising a plurality of nanoscopic particles. A second conductive terminal is in physical and electrical contact with the article. Select circuitry is arranged in electrical communication with a bit line of the plurality of bit lines and one of the first and second conductive terminals. The article has a physical dimension that defines a spacing between the first and second conductive terminals such that the nanotube article is interposed between the first and second conducive terminals. A logical state of each memory cell is selectable by activation only of the bit line and the word line connected to that memory cell.
    Type: Application
    Filed: November 19, 2008
    Publication date: August 6, 2009
    Inventors: Claude L. Bertin, Eliodor G. Ghenciu, Thomas Rueckes, H. M. Manning
  • Patent number: 7566657
    Abstract: In one embodiment of a method of forming at least one through-substrate interconnect, a semiconductor substrate having first surface and an opposing second surface is provided. At least one opening is formed in the semiconductor substrate to extend from the first surface to an intermediate depth within the semiconductor substrate. The at least one opening is partially defined by a base. At least one metal-catalyst nanoparticle is provided on the base. Conductive material is deposited within the at least one opening under conditions in which the metal-catalyst nanoparticle promotes deposition of the conductive material. Material of the semiconductor substrate may be removed from the second surface to expose a portion of the conductive material filling the at least one opening. In another embodiment, instead of using the nanoparticle, the conductive material may be selected to selectively deposit on the base partially defining the at least one opening.
    Type: Grant
    Filed: January 17, 2007
    Date of Patent: July 28, 2009
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventor: Theodore I. Kamins
  • Publication number: 20090186480
    Abstract: An optical article and method of making the same are provided. The optical article has optical multi-aperture operation. The optical article has one or more electrically conductive and selectively passivated patterns.
    Type: Application
    Filed: January 28, 2009
    Publication date: July 23, 2009
    Applicant: Rohm and Haas Electronic Materials LLC
    Inventors: Jitendra S. Goela, Michael A. Pickering, Neil D. Brown, Angelo Chirafisi, Mark Lefebvre, Jamie L. Triba
  • Publication number: 20090181538
    Abstract: A film forming method is provided with a substrate placing step wherein a substrate is placed in a process chamber in an airtight status; a first film forming step wherein the process chamber is supplied with water vapor and a material gas including an organic compound of copper, and an adhered layer of copper is formed on the substrate; an exhaust step wherein the water vapor and the material gas in the process chamber are exhausted; and a second film forming step wherein the process chamber is resupplied with only the material gas and a copper film is further formed on the adhered layer.
    Type: Application
    Filed: July 17, 2007
    Publication date: July 16, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yasuhiko Kojima, Taro Ikeda, Tatsuo Hatano
  • Publication number: 20090170252
    Abstract: A formation method of a metallic compound layer includes preparing, in a chamber, a substrate having a surface on which a semiconductor material of silicon, germanium, or silicon germanium is exposed, and forming a metallic compound layer, includes: supplying a raw material gas containing a metal for forming a metallic compound with the semiconductor material to the chamber; heating the substrate to a temperature at which the raw material gas is pyrolyzed; and forming a metallic compound layer by reaction of the metal with the semiconductor material so that no layer of the metal is deposited on the substrate. A manufacturing method of a semiconductor device employs this formation method of a metallic compound layer.
    Type: Application
    Filed: May 21, 2007
    Publication date: July 2, 2009
    Inventors: Takashi Nakagawa, Toru Tatsumi, Makiko Oshida, Nobuyuki Ikarashi, Kensuke Takahashi, Kenzo Manabe
  • Publication number: 20090163023
    Abstract: A method of fabricating a phase change memory includes forming a lower electrode on a semiconductor substrate, forming a phase change pattern, an upper electrode, and a hard mask pattern sequentially on the lower electrode, a width of a bottom surface of the hard mask pattern being greater than a width of a top surface of the hard mask pattern, the bottom surface of the hard mask pattern facing the upper electrode and being opposite the top surface of the hard mask pattern, and forming a capping layer to cover the top surface of the hard mask pattern and sidewalls of the hard mask pattern, phase change pattern, and upper electrode.
    Type: Application
    Filed: December 18, 2008
    Publication date: June 25, 2009
    Inventors: Yoon-Jong Song, Byung-Seo Kim, Kyung-Chang Ryoo
  • Publication number: 20090163011
    Abstract: A method for forming a vertical channel transistor in a semiconductor memory device includes: forming a plurality of pillars over a substrate so that the plurality of pillars are arranged in a first direction and a second direction crossing the first direction, and so that each of the pillars has a hard mask pattern thereon; forming an insulation layer to fill a regions between the pillars; forming a mask pattern over a resultant structure including the insulation layer, wherein the mask pattern has openings exposing gaps between each two adjacent pillars in the first direction; etching the insulation layer to a predetermined depth using the mask pattern as an etching barrier to form trenches; and filling the trenches with a conductive material to form word lines extending in the first direction.
    Type: Application
    Filed: June 30, 2008
    Publication date: June 25, 2009
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Jin-Ki JUNG
  • Patent number: 7531452
    Abstract: A method for forming a strained metal nitride film and a semiconductor device containing the strained metal nitride film. The method includes exposing a substrate to a gas containing a metal precursor, exposing the substrate to a gas containing a silicon precursor, exposing the substrate to a gas containing a nitrogen precursor activated by a plasma source at a first level of plasma power and configured to react with the metal precursor or the silicon precursor with a first reactivity characteristic, and exposing the substrate to a gas containing the nitrogen precursor activated by the plasma source at a second level of plasma power different from the first level and configured to react with the metal precursor or the silicon precursor with a second reactivity characteristic such that a property of the metal silicon nitride film formed on the substrate changes to provide the strained metal silicon nitride film.
    Type: Grant
    Filed: March 30, 2007
    Date of Patent: May 12, 2009
    Assignee: Tokyo Electron Limited
    Inventor: Robert D. Clark
  • Publication number: 20090104770
    Abstract: An electrical device in which an interface layer is disposed between and in contact with a metal and a Si-based semiconductor, the interface layer being of a thickness effective to depin of the Fermi level of the semiconductor while still permitting current to flow between the metal and the semiconductor. The interface layer may include a layer of a passivating material (e.g., made from nitrogen, oxygen, oxynitride, arsenic, hydrogen and/or fluorine) and sometimes also includes a separation layer. In some cases, the interface layer may be a monolayer of a semiconductor passivating material. The interface layer thickness corresponds to a minimum specific contact resistance of less than or equal to 10?-?m2 or even less than or equal to 1?-?m2 for the electrical device.
    Type: Application
    Filed: August 25, 2008
    Publication date: April 23, 2009
    Inventors: Daniel E. Grupp, Daniel J. Connelly