Conductor Comprising Silicide Layer Formed By Silicidation Reaction Of Silicon With Metal Layer (epo) Patents (Class 257/E21.199)
  • Patent number: 8187970
    Abstract: Methods for forming cobalt silicide materials are disclosed herein. In one example, a method for forming a cobalt silicide material includes exposing a substrate having a silicon-containing material to either a wet etch solution or a pre-clean plasma during a first step and then to a hydrogen plasma during a second step of a pre-clean process. The exemplary method further includes depositing a cobalt metal layer on the silicon-containing material by a CVD process, heating the substrate to form a first cobalt silicide layer comprising CoSi at the interface of the cobalt metal layer and the silicon-containing material during a first annealing process, removing any unreacted cobalt metal from the first cobalt silicide layer during an etch process, and heating the substrate to form a second cobalt silicide layer comprising CoSi2 during a second annealing process.
    Type: Grant
    Filed: December 15, 2010
    Date of Patent: May 29, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Seshadri Ganguli, Sang-Ho Yu, See-Eng Phan, Mei Chang, Amit Khandelwal, Hyoung-Chan Ha
  • Patent number: 8178433
    Abstract: An advanced gate structure that includes a fully silicided metal gate and silicided source and drain regions in which the fully silicided metal gate has a thickness that is greater than the thickness of the silicided source/drain regions is provided. Methods of forming the advanced gate structure are also provided.
    Type: Grant
    Filed: October 7, 2008
    Date of Patent: May 15, 2012
    Assignee: International Business Machines Corporation
    Inventors: Glenn A. Biery, Michelle L. Steen
  • Patent number: 8178438
    Abstract: Silicide films with high quality are formed with treatment of laser light irradiation, so that miniaturization and higher performance is achieved in a field-effect transistor that is formed over an insulating substrate and has little variation in electric characteristics. An island-shaped semiconductor film including a pair of impurity regions and a channel formation region is formed over an insulating substrate, a first metal film is formed on the pair of impurity regions, and a second metal film that functions as a reflective film is formed over a gate electrode located over the channel formation region with a gate insulating film interposed therebetween. The first metal film is irradiated with laser light and a region where the second metal film is formed reflects the laser light, so that the island-shaped semiconductor film and the first metal film selectively react with each other in the pair of impurity regions.
    Type: Grant
    Filed: November 8, 2010
    Date of Patent: May 15, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Tomoaki Moriwaka
  • Patent number: 8158513
    Abstract: A method for manufacturing an integrated circuit system includes: providing a first material; forming a second material over a first side of the first material; and exposing a second side of the first material to an energy source to form an electrical contact at an interface of the first material and the second material.
    Type: Grant
    Filed: October 8, 2008
    Date of Patent: April 17, 2012
    Assignee: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventors: Zhihong Mai, Suey Li Toh, Pik Kee Tan, Jeffrey C. Lam, Liang-Choo Hsia
  • Patent number: 8158473
    Abstract: To provide a semiconductor device which can reduce an electrical resistance between a plug and a silicide region, and a manufacturing method thereof. At least one semiconductor element having a silicide region, is formed over a semiconductor substrate. An interlayer insulating film is formed over the silicide region. A through hole having an inner surface including a bottom surface comprised of the silicide regions is formed in the interlayer insulating film. A Ti(titanium) film covering the inner surface of the hole is formed by a chemical vapor deposition method. At least a surface of the Ti film is nitrided so as to form a barrier metal film covering the inner surface. A plug is formed to fill the through hole via the barrier metal film.
    Type: Grant
    Filed: February 2, 2010
    Date of Patent: April 17, 2012
    Assignee: Renesas Electronics Corporation
    Inventors: Kazuhito Ichinose, Yukari Imai
  • Patent number: 8158519
    Abstract: In a method of manufacturing a non-volatile memory cell, a self-aligned metal silicide is used in place of a conventional tungsten metal layer to form a polysilicon gate, and the self-aligned metal silicide is used as a connection layer on the polysilicon gate. By using the self-aligned metal silicide to form the polysilicon gate, the use of masks in the etching process may be saved to thereby enable simplified manufacturing process and accordingly, reduced manufacturing cost. Meanwhile, the problem of resistance shift caused by an oxidized tungsten metal layer can be avoided.
    Type: Grant
    Filed: October 20, 2008
    Date of Patent: April 17, 2012
    Assignee: Eon Silicon Solution Inc.
    Inventors: Yi-Hsiu Chen, Yung-Chung Lee, Yider Wu
  • Publication number: 20120061797
    Abstract: According to one embodiment, a semiconductor device including a substrate, and an anti-fuse element including a first insulator formed on the substrate, a conductive film formed on the first insulator, the conductive film including a silicide film, a contact formed on the substrate, the contact being disposed adjacent to the conductive film with a second insulator interposed between the contact and the conductive film, the contact being short-circuited to the silicide film.
    Type: Application
    Filed: March 7, 2011
    Publication date: March 15, 2012
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Masahiko Kanda
  • Patent number: 8058092
    Abstract: A method for providing a semiconductor material for photovoltaic devices, the method includes providing a sample of iron disilicide comprising approximately 90 percent or greater of a beta phase entity. The sample of iron disilicide is characterized by a substantially uniform first particle size ranging from about 1 micron to about 10 microns. The method includes combining the sample of iron disilicide and a binding material to form a mixture of material. The method includes providing a substrate member including a surface region and deposits the mixture of material overlying the surface region of the substrate. In a specific embodiment, the mixture of material is subjected to a post-deposition process such as a curing process to form a thickness of material comprising the sample of iron disilicide overlying the substrate member. In a specific embodiment, the thickness of material is characterized by a thickness of about the first particle size.
    Type: Grant
    Filed: September 12, 2008
    Date of Patent: November 15, 2011
    Assignee: Stion Corporation
    Inventors: Howard W. H. Lee, Frederic Victor Mikulec, Bing Shen Gao, Jinman Huang
  • Patent number: 8053292
    Abstract: The disclosure concerns a method of manufacturing a semiconductor device including forming a plurality of fins made of a semiconductor material on an insulating layer; forming a gate insulating film on side surfaces of the plurality of fins; and forming a gate electrode on the gate insulating film in such a manner that a compressive stress is applied to a side surface of a first fin which is used in an NMOSFET among the plurality of fins in a direction perpendicular to the side surface and a tensile stress is applied to a side surface of a second fin which is used in a PMOSFET among the plurality of fins in a direction perpendicular to the side surface.
    Type: Grant
    Filed: August 4, 2010
    Date of Patent: November 8, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Akio Kaneko, Atsushi Yagishita, Satoshi Inaba
  • Patent number: 8039388
    Abstract: The embodiments of methods described in this disclosure for trimming back nitride spacers for replacement gates allows the hard mask layers (or hard mask) to protect the polysilicon above the high-K dielectric during trim back process. The process sequence also allows determining the trim-back amount based on the process uniformity (or control) of nitride deposition and nitride etchback (or trimming) processes. Nitride spacer trim-back process integration is critical to avoid creating undesirable consequences, such as silicided polyisicon on top of high-K dielectric described above. The integrated process also allows widening the space between the gate structures to allow formation of silicide with good quality and allow contact plugs to have sufficient contact with the silicide regions. The silicide with good quality and good contact between the contact plugs and the silicide regions increase the yield of contact and allows the contact resistance to be in acceptable and workable ranges.
    Type: Grant
    Filed: March 24, 2010
    Date of Patent: October 18, 2011
    Assignee: Taiwam Semiconductor Manufacturing Company, Ltd.
    Inventors: Jin-Aun Ng, Yu-Ying Hsu, Chi-Ju Lee, Sin-Hua Wu, Bao-Ru Young, Harry-Hak-Lay Chuang
  • Patent number: 8034715
    Abstract: A Co silicide layer having a low resistance and a small junction leakage current is formed on the surface of the gate electrode, source and drain of MOSFETS by silicidizing a Co film deposited on a main plane of a wafer by sputtering using a high purity Co target having a Co purity of at least 99.99% and Fe and Ni contents of not greater than 10 ppm, preferably having a Co purity of 99.999%.
    Type: Grant
    Filed: June 26, 2009
    Date of Patent: October 11, 2011
    Assignee: Renesas Electronics Corporation
    Inventors: Shinji Nishihara, Shuji Ikeda, Naotaka Hashimoto, Hiroshi Momiji, Hiromi Abe, Shinichi Fukada, Masayuki Suzuki
  • Patent number: 8030777
    Abstract: Methods of protecting exposed metal damascene interconnect surfaces in a process for making electronic components and the electronic components made according to such methods. An integrated circuit structure having damascene regions with exposed metal surfaces is provided into a closed processing chamber, whereby a first reactant is contacted to the exposed metal surfaces to transform a top portion of the metal layer into a protective self-aligned buffer layer. Reacting molecules of the first reactant with metal atoms of this metal layer forms the protective self-aligned buffer layer entirely within such metal layer. Alternatively, adsorbing surface-active reactant molecules onto the exposed metal surface forms the protective self-aligned buffer layer. A second reactant may be contacted to the protective self-aligned buffer layer to form a self-aligned dielectric cap layer directly over the protective self-aligned buffer layer.
    Type: Grant
    Filed: February 5, 2007
    Date of Patent: October 4, 2011
    Assignee: Novellus Systems, Inc.
    Inventors: Bart van Schravendijk, Thomas W Mountsier, Mahesh K Sanganeria, Glenn B Alers, Roey Shaviv
  • Patent number: 7994039
    Abstract: A method of fabricating a semiconductor device according to one embodiment includes: forming a plurality of Si-based pattern portions above a semiconductor substrate, the plurality of Si-based pattern portions being adjacent in a direction substantially parallel to a surface of the semiconductor substrate via insulating films; forming a metal film above the plurality of Si-based pattern portions and the insulating films so as to contact with the plurality of Si-based pattern portions; processing whole areas or upper portions of the plurality of Si-based pattern portions into a plurality of silicide layers by a silicidation reaction between the plurality of Si-based pattern portions and the metal film by heat treatment; and removing the plurality of silicide layers formed above the insulating films by applying planarizing treatment to the plurality of silicide layers.
    Type: Grant
    Filed: March 6, 2009
    Date of Patent: August 9, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Shinichi Hirasawa, Shinya Watanabe
  • Patent number: 7977236
    Abstract: Methods and structures are provided for full silicidation of recessed silicon. Silicon is provided within a trench. A mixture of metals is provided over the silicon in which one of the metals diffuses more readily in silicon than silicon does in the metal, and another of the metals diffuses less readily in silicon than silicon does in the metal. An exemplary mixture includes 80% nickel and 20% cobalt. The silicon within the trench is allowed to fully silicide without void formation, despite a relatively high aspect ratio for the trench. Among other devices, recessed access devices (RADs) can be formed by the method for memory arrays.
    Type: Grant
    Filed: June 2, 2009
    Date of Patent: July 12, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Hasan Nejad, Thomas A. Figura, Gordon A. Haller, Ravi Iyer, John Mark Meldrim, Justin Harnish
  • Patent number: 7977194
    Abstract: A method for fabricating a semiconductor device includes the steps of: forming a first MISFET including first source/drain regions and a first gate electrode of a polycrystalline silicon, and a second MISFET including second source/drain regions and a second gate electrode of a polycrystalline silicon and having a gate length larger than that of the first gate electrode; and substituting the polycrystalline silicon forming the first and the second gate electrodes with a metal silicide. In the step of substituting the polycrystalline silicon with the metal silicide, the polycrystalline silicon forming the first gate electrode is totally substituted with the metal silicide and a part of polycrystalline silicon forming the second gate electrode is substituted with the metal silicide by utilizing that the gate length of the second gate electrode is larger than the gate length of the first gate electrode.
    Type: Grant
    Filed: July 25, 2006
    Date of Patent: July 12, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Hidenobu Fukutome, Hiroyuki Ohta, Kazuo Kawamura, Kimihiko Hosaka
  • Patent number: 7964496
    Abstract: A method of forming a semiconductor structure includes providing a substrate; forming a low-k dielectric layer over the substrate; embedding a conductive wiring into the low-k dielectric layer; and thermal soaking the conductive wiring in a carbon-containing silane-based chemical to form a barrier layer on the conductive wiring. A lining barrier layer is formed in the opening for embedding the conductive wiring. The lining barrier layer may comprise same materials as the barrier layer, and the lining barrier layer may be recessed before forming the barrier layer and may contain a metal that can be silicided.
    Type: Grant
    Filed: November 21, 2006
    Date of Patent: June 21, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Hai-Ching Chen, Tien-I Bao
  • Patent number: 7960283
    Abstract: A method for forming silicide contacts in integrated circuits (ICs) is described. A spacer pull-back etch is performed during the salicidation process to reduce the stress between the spacer and source/drain silicide contact at the spacer undercut. This prevents the propagation of surface defects into the substrate, thereby minimizing the occurrence of silicide pipe defects. The spacer pull-back etch can be performed after a first annealing step to form the silicide contacts.
    Type: Grant
    Filed: June 28, 2010
    Date of Patent: June 14, 2011
    Assignee: Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Jeff Jianhui Ye, Huang Liu, Alex K H See, Wei Lu, Hai Cong, Hui Peng Koh, Mei Sheng Zhou, Liang Choo Hsia
  • Patent number: 7960237
    Abstract: The present invention provides a method in which a low-resistance connection between the MOS channel and silicided source/drain regions is provided that has an independence from the extension ion implant process as well as device overlap capacitance. The method of the present invention broadly includes selectively removing outer spacers of an MOS structure and then selectively plating a metallic or intermetallic material on exposed portions of a semiconductor substrate that were previously protected by the outer spacers. The present invention also provides a semiconductor structure that is formed utilizing the method. The semiconductor structure includes a low-resistance connection between the silicided source/drain regions and the channel regions which includes a selectively plated metallic or intermetallic material.
    Type: Grant
    Filed: May 16, 2008
    Date of Patent: June 14, 2011
    Assignee: International Business Machines Corporation
    Inventors: Dureseti Chidambarrao, Carl Radens
  • Patent number: 7960280
    Abstract: An improved method of forming a fully silicided (FUSI) gate in both NMOS and PMOS transistors of the same MOS device is disclosed. In one example, the method comprises forming a first silicide in at least a top portion of a gate electrode of the PMOS devices and not over the NMOS devices. The method further comprises concurrently forming a second silicide in at least a top portion of a gate electrode of both the NMOS and PMOS devices, and forming a FUSI gate silicide of the gate electrodes. In one embodiment, the thickness of the second silicide is greater than the first silicide by an amount which compensates for a difference in the rates of silicide formation between the NMOS and PMOS devices.
    Type: Grant
    Filed: August 24, 2007
    Date of Patent: June 14, 2011
    Assignee: Texas Instruments Incorporated
    Inventors: Freidoon Mehrad, Frank S. Johnson
  • Patent number: 7955978
    Abstract: Silicon containing substrates are coated with nickel. The nickel is coated with a protective layer and the combination is heated to a sufficient temperature to form nickel silicide. The nickel silicide formation may be performed in oxygen containing environments.
    Type: Grant
    Filed: August 25, 2010
    Date of Patent: June 7, 2011
    Assignee: Rohm and Hass Electronic Materials LLC
    Inventors: John P. Cahalen, Gary Hamm, George R. Allardyce, David L. Jacques
  • Patent number: 7939893
    Abstract: A semiconductor device manufacturing method includes, forming isolation region having an aspect ratio of 1 or more in a semiconductor substrate, forming a gate insulating film, forming a silicon gate electrode and a silicon resistive element, forming side wall spacers on the gate electrode, heavily doping a first active region with phosphorus and a second active region and the resistive element with p-type impurities by ion implantation, forming salicide block at 500° C. or lower, depositing a metal layer covering the salicide block, and selectively forming metal silicide layers. The method may further includes, forming a thick and a thin gate insulating films, and performing implantation of ions of a first conductivity type not penetrating the thick gate insulating film and oblique implantation of ions of the opposite conductivity type penetrating also the thick gate insulating film before the formation of side wall spacers.
    Type: Grant
    Filed: August 11, 2010
    Date of Patent: May 10, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Taiji Ema, Hideyuki Kojima, Toru Anezaki
  • Patent number: 7892971
    Abstract: An annealing method and apparatus for semiconductor manufacturing is described. The method and apparatus allows an anneal that can span a thermal budget and be tailored to a specific process and its corresponding activation energy. In some cases, the annealing method spans a timeframe from about 1 millisecond to about 1 second. An example for this annealing method includes a sub-second anneal method where a reduction in the formation of nickel pipes is achieved during salicide processing. In some cases, the method and apparatus combine the rapid heating rate of a sub-second anneal with a thermally conductive substrate to provide quick cooling for a silicon wafer. Thus, the thermal budget of the sub-second anneal methods may span the range from conventional RTP anneals to flash annealing processes (including duration of the anneal, as well as peak temperature). Other embodiments are described.
    Type: Grant
    Filed: June 30, 2008
    Date of Patent: February 22, 2011
    Assignee: Intel Corporation
    Inventors: Jack Hwang, Sridhar Govindaraju, Karson Knutson, Harold Kennel, Aravind Killampalli
  • Patent number: 7879723
    Abstract: In an embodiment of the present invention, a semiconductor layer having regions into which a p-type impurity, an n-type impurity and a (p+n) impurity are respectively introduced is formed as a surface layer by being heat-treated. An impurity segregation layer on these regions is removed, and a film of a metallic material is thereafter formed on the regions and is heat-treated, thereby forming a silicide film on the semiconductor layer. In another embodiment, an impurity is introduced into the impurity segregation layer, and a film of a metallic material is thereafter formed on the impurity segregation layer and is heat-treated to form a silicide film.
    Type: Grant
    Filed: January 30, 2009
    Date of Patent: February 1, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kyoichi Suguro, Mitsuaki Izuha
  • Patent number: 7863186
    Abstract: Fully and uniformly silicided gate conductors are produced by deeply “perforating” silicide gate conductors with sub-lithographic, sub-critical dimension, nanometer-scale openings. A silicide-forming metal (e.g. cobalt, tungsten, etc.) is then deposited, polysilicon gates, covering them and filling the perforations. An anneal step converts the polysilicon to silicide. Because of the deep perforations, the surface area of polysilicon in contact with the silicide-forming metal is greatly increased over conventional silicidation techniques, causing the polysilicon gate to be fully converted to a uniform silicide composition. A self-assembling diblock copolymer is used to form a regular sub-lithographic nanometer-scale pattern that is used as an etching “template” for forming the perforations.
    Type: Grant
    Filed: December 15, 2008
    Date of Patent: January 4, 2011
    Assignee: International Business Machines Corporation
    Inventors: Wai-Kin Li, Haining Yang
  • Patent number: 7859059
    Abstract: There is provided a semiconductor device having excellent device characteristics and reliability in which Vth values of an nMOS transistor and a pMOS transistor are controlled to be values necessary for a low-power device. The semiconductor device includes a pMOS transistor and an nMOS transistor formed by using an SOI substrate. The pMOS transistor is a fully depleted MOS transistor including a first gate electrode comprising at least one type of crystalline phase selected from the group consisting of a WSi2 crystalline phase, an MoSi2 crystalline phase, an NiSi crystalline phase, and an NiSi2 crystalline phase as silicide region (1). The nMOS transistor is a fully depleted MOS transistor comprising at least one type of crystalline phase selected from the group consisting of a PtSi crystalline phase, a Pt2Si crystalline phase, an IrSi crystalline phase, an Ni2Si crystalline phase, and an Ni3Si crystalline phase as silicide region (2).
    Type: Grant
    Filed: July 23, 2007
    Date of Patent: December 28, 2010
    Assignee: NEC Corporation
    Inventor: Kensuke Takahashi
  • Patent number: 7858517
    Abstract: First, in a first step, a gate electrode is formed over a silicon substrate, with a gate insulation film therebetween. Next, in a second step, etching with the gate electrode as a mask is conducted so as to dig down a surface layer of the silicon substrate. Subsequently, in a third step, a first layer including an SiGe layer is epitaxially grown on the dug-down surface of the silicon substrate. Next, in a fourth step, a second layer including an SiGe layer lower than the first layer in Ge concentration or including an Si layer is formed on the first layer. Thereafter, in a fifth step, at least the surface side of the second layer is silicided, to form a silicide layer.
    Type: Grant
    Filed: November 13, 2007
    Date of Patent: December 28, 2010
    Assignee: Sony Corporation
    Inventors: Naoyuki Sato, Kohjiro Nagaoka, Takashi Shinyama
  • Patent number: 7851352
    Abstract: Silicide films with high quality are formed with treatment of laser light irradiation, so that miniaturization and higher performance is achieved in a field-effect transistor that is formed over an insulating substrate and has little variation in electric characteristics. An island-shaped semiconductor film including a pair of impurity regions and a channel formation region is formed over an insulating substrate, a first metal film is formed on the pair of impurity regions, and a second metal film that functions as a reflective film is formed over a gate electrode located over the channel formation region with a gate insulating film interposed therebetween. The first metal film is irradiated with laser light and a region where the second metal film is formed reflects the laser light, so that the island-shaped semiconductor film and the first metal film selectively react with each other in the pair of impurity regions.
    Type: Grant
    Filed: April 28, 2008
    Date of Patent: December 14, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd
    Inventor: Tomoaki Moriwaka
  • Patent number: 7786004
    Abstract: A method of manufacturing a semiconductor device includes forming a first conductive film on a semiconductor substrate via a first insulating film; forming a second conductive film on the first conductive film via a second insulating film; patterning the first and the second conductive films and the second insulating film to form a plurality of gate electrodes; filling a third insulating film between the plurality of gate electrodes; exposing an upper portion of the second conductive film by removing the third insulating film; covering surfaces of the exposed upper portion of the second conductive film with fluoride (F) or carbon (C) or oxygen (O); and forming a metal film on an upper surface of the second conductive film; and forming silicide layers on the upper portion of the second conductive films by thermally treating the metal film.
    Type: Grant
    Filed: October 12, 2007
    Date of Patent: August 31, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Jota Fukuhara
  • Patent number: 7781296
    Abstract: An integrated circuit (IC) includes at least one capacitor with metal electrodes. At least one of the electrodes (10 or 30) is formed from at least surface-silicided hemispherical grain silicon or silicon alloy. A fabrication process for obtaining such a capacitor with silicided metal electrodes is also provided.
    Type: Grant
    Filed: June 7, 2005
    Date of Patent: August 24, 2010
    Assignees: STMicroelectronics SAS, Koninklijke Philips Electronics N.V.
    Inventors: Aomar Halimaoui, Rebha El Farhane, Benoit Froment
  • Patent number: 7759205
    Abstract: Methods for producing a semiconductor device are provided. In one embodiment, a method includes the steps of: (i) fabricating a partially-completed semiconductor device including a substrate, a source/drain region in the substrate, a gate stack overlaying the substrate, and a sidewall spacer adjacent the gate stack; (ii) utilizing an anisotropic etch to remove an upper portion of the sidewall spacer while leaving intact a lower portion of the sidewall spacer overlaying the substrate; (iii) implanting ions in the source/drain region; and (iv) annealing the semiconductor device to activate the implanted ions. The step of annealing is performed with the lower portion of the sidewall spacer intact to deter the ingress of oxygen into the substrate and minimize under-oxide regrowth proximate the gate stack.
    Type: Grant
    Filed: January 16, 2009
    Date of Patent: July 20, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Kingsuk Maitra, John Iacoponi
  • Patent number: 7754554
    Abstract: Methods for fabricating low contact resistance CMOS integrated circuits are provided. In accordance with an embodiment, a method for fabricating a CMOS integrated circuit including an NMOS transistor and a PMOS transistor disposed in and on a silicon-comprising substrate includes depositing a first silicide-forming metal on the NMOS and PMOS transistors. The first silicide-forming metal forms a silicide at a first temperature. At least a portion of the first silicide-forming metal is removed from the NMOS or PMOS transistor and a second silicide-forming metal is deposited. The second silicide-forming metal forms a silicide at a second temperature that is different from the first temperature. The first silicide-forming metal and the second silicide-forming metal are heated at a temperature that is no less than the higher of the first temperature and the second temperature.
    Type: Grant
    Filed: January 31, 2007
    Date of Patent: July 13, 2010
    Assignee: GlobalFoundries Inc.
    Inventors: Igor Peidous, Patrick Press, Paul R. Besser
  • Patent number: 7745320
    Abstract: A method for forming silicide contacts in integrated circuits (ICs) is described. A spacer pull-back etch is performed during the salicidation process to reduce the stress between the spacer and source/drain silicide contact at the spacer undercut. This prevents the propagation of surface defects into the substrate, thereby minimizing the occurrence of silicide pipe defects. The spacer pull-back etch can be performed after a first annealing step to form the silicide contacts.
    Type: Grant
    Filed: May 21, 2008
    Date of Patent: June 29, 2010
    Assignee: Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Jeff Jianhui Ye, Huang Liu, Alex K H See, Wei Lu, Hai Cong, Hui Peng Koh, Mei Sheng Zhou, Liang Choo Hsia
  • Patent number: 7709372
    Abstract: A method of manufacturing a metal wiring in a semiconductor device includes: forming a via hole by selectively etching an interlayer insulating layer formed on a first metal layer; sequentially forming a first barrier metal layer and a second metal layer on the interlayer insulating layer; etching the first barrier metal layer and the second metal layer in the via hole to a predetermined depth together with selectively etching a surface of the second metal layer; forming a silicon layer on the first barrier metal and the second metal to a predetermined height; forming a second barrier metal layer on the interlayer insulating layer; forming a third metal layer on the second barrier metal layer; and forming a second barrier metal pattern and a third metal layer pattern by patterning the second barrier metal layer and the third metal layer.
    Type: Grant
    Filed: December 19, 2006
    Date of Patent: May 4, 2010
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Keun Soo Park
  • Patent number: 7704858
    Abstract: A method for forming a nickel silicide layer on a MOS device with a low carbon content comprises providing a substrate within an ALD reactor and performing an ALD process cycle to form a nickel layer on the substrate, wherein the ALD process cycle comprises pulsing a nickel precursor into the reactor, purging the reactor after the nickel precursor, pulsing a mixture of hydrogen and silane into the reactor, and purging the reactor after the hydrogen and silane pulse. The ALD process cycle can be repeated until the nickel layer reaches a desired thickness. The silane used in the ALD process functions as a getterer for the advantageous carbon, resulting in a nickel layer that has a low carbon content. The nickel layer may then be annealed to form a nickel silicide layer with a low carbon content.
    Type: Grant
    Filed: March 29, 2007
    Date of Patent: April 27, 2010
    Assignee: Intel Corporation
    Inventors: Michael L. McSwiney, Matthew V. Metz
  • Patent number: 7700451
    Abstract: Embodiments relate to a method of manufacturing a transistor having a metal silicide layer. In embodiments, the method may include sequentially forming a gate insulating layer pattern and a gate conductive layer pattern on a semiconductor substrate, forming a first metal silicide layer on the gate conductive layer pattern and a second metal silicide layer on the semiconductor substrate, forming a spacer layer on side-walls of the gate insulating layer pattern and the gate conductive layer pattern, and forming a source/drain region in the semiconductor substrate below the second metal silicide layer by performing ion implantation.
    Type: Grant
    Filed: December 27, 2006
    Date of Patent: April 20, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Pyoung On Cho
  • Patent number: 7666762
    Abstract: A method for fabricating a semiconductor device is provided. A nickel layer is deposited on a semiconductor substrate and plasma-processed. Rapid thermal processing is performed on the plasma-processed nickel layer to form a nickel silicide layer. The portion of the nickel layer that has not reacted with silicon is then removed.
    Type: Grant
    Filed: September 28, 2007
    Date of Patent: February 23, 2010
    Assignee: Dongbu Hitek Co., Ltd.
    Inventors: Dong Ki Jeon, Han Choon Lee
  • Patent number: 7655557
    Abstract: The present invention provides a complementary metal oxide semiconductor integration process whereby a plurality of silicided metal gates are fabricated atop a gate dielectric. Each silicided metal gate that is formed using the integration scheme of the present invention has the same silicide metal phase and substantially the same height, regardless of the dimension of the silicide metal gate. The present invention also provides various methods of forming a CMOS structure having silicided contacts in which the polySi gate heights are substantially the same across the entire surface of a semiconductor structure.
    Type: Grant
    Filed: June 24, 2008
    Date of Patent: February 2, 2010
    Assignee: International Business Machines Corporation
    Inventors: Ricky S. Amos, Diane C. Boyd, Cyril Cabral, Jr., Richard D. Kaplan, Jakub T. Kedzierski, Victor Ku, Woo-Hyeong Lee, Ying Li, Anda C. Mocuta, Vijay Narayanan, An L. Steegen, Maheswaren Surendra
  • Patent number: 7651903
    Abstract: Disclosed are a CMOS image sensor and a method for manufacturing the same, for reducing or preventing damage to a photodiode and improving a pixel design margin to achieve scale down of a pixel. The CMOS image sensor includes an isolation layer in a semiconductor substrate, a gate electrode crossing a part of the isolation layer and the active area, a photodiode area in the active area, an insulating sidewall spacer on sides of the gate electrode, a metal silicide layer on the gate electrode and at least part of a surface of the photodiode area adjacent to the gate electrode, a metal layer electrically connecting the gate electrode to the photodiode area, and a dielectric layer on the entire surface of semiconductor substrate.
    Type: Grant
    Filed: October 12, 2006
    Date of Patent: January 26, 2010
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: In Gyun Jeon
  • Patent number: 7638427
    Abstract: An MOS transistor with a fully silicided gate is produced by forming a silicide compound in the gate separately and independently of silicide portions located in source and drain zones of the transistor. To this end, the silicide portions of the source and drain zones are covered by substantially impermeable coatings. The coatings prevent the silicide portions of the source and drain zones from increasing in volume during separate and independent formation of the gate silicide compound. The silicide gate may thus be thicker than the silicide portions of the source and drain zones.
    Type: Grant
    Filed: January 10, 2006
    Date of Patent: December 29, 2009
    Assignee: STMicroelectronics (Crolles 2) SAS
    Inventors: Benoît Froment, Delphine Aime
  • Patent number: 7638384
    Abstract: Embodiments relate to a method of fabricating a semiconductor device. In embodiments, a gate pattern may be formed on a semiconductor substrate, and sidewalls having a lower height than a height of the gate pattern may be formed at both sides of the gate pattern using a photoresist pattern. A silicide layer may be formed on exposed upper surface and side surfaces of the gate pattern and a portion of the semiconductor substrate at both sides of the sidewalls. Therefore, the silicide layer formed on a gate may be enlarged, and may reduce gate resistance.
    Type: Grant
    Filed: December 26, 2006
    Date of Patent: December 29, 2009
    Assignee: Dongbu HiTek Co. Ltd.
    Inventor: Jung Hak Myung
  • Patent number: 7622387
    Abstract: A fully-silicided gate electrode is formed from silicon and a metal by depositing at least two layers of silicon with the metal layer therebetween. One of the silicon layers may be amorphous silicon whereas the other silicon layer may be polycrystalline silicon. The silicon between the metal layer and the gate dielectric may be deposited in two layers having different crystallinities. This process enables greater control to be exercised over the phase of the silicide resulting from this silicidation process.
    Type: Grant
    Filed: August 29, 2005
    Date of Patent: November 24, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Vidya Kaushik, Benoit Froment
  • Publication number: 20090263943
    Abstract: A Co silicide layer having a low resistance and a small junction leakage current is formed on the surface of the gate electrode, source and drain of MOSFETS by silicidizing a Co film deposited on a main plane of a wafer by sputtering using a high purity Co target having a Co purity of at least 99.99% and Fe and Ni contents of not greater than 10 ppm, preferably having a Co purity of 99.999%.
    Type: Application
    Filed: June 26, 2009
    Publication date: October 22, 2009
    Inventors: Shinji Nishihara, Shuji Ikeda, Naotaka Hashimoto, Hiroshi Momiji, Hiromi Abe, Shinichi Fukada, Masayuki Suzuki
  • Patent number: 7592674
    Abstract: There is provided a semiconductor device which is capable of solving a problem of threshold control in CMOS transistor, accompanied with combination of a gate insulating film having a high dielectric constant and a metal gate electrode, and significantly enhancing performances without deterioration in reliability of a device. The semiconductor device includes a gate insulating film composed of a material having a high dielectric constant, and a gate electrode. A portion of the gate electrode making contact with the gate insulating film has a composition including silicide of metal M expressed with MxSi1-X (0<X<1), as a primary constituent. X is greater than 0.5 (X>0.5) in a p-type MOSFET, and is equal to or smaller than 0.5 (X?0.5) in a n-type MOSFET.
    Type: Grant
    Filed: June 21, 2005
    Date of Patent: September 22, 2009
    Assignee: NEC Corporation
    Inventors: Kensuke Takahashi, Kenzo Manabe, Nobuyuki Ikarashi, Toru Tatsumi
  • Publication number: 20090227107
    Abstract: A network element (10), such as a Packet Data Serving Node, detects (31) a change in operational status of a mobile station during a communication session and, in response to detecting such a change, automatically increases (32) memory capacity as is available to support additional communication sessions while simultaneously persisting at least some session information for potential subsequent use during the communication session. For example, this response can occur upon detecting that a mobile station has changed from an active to a dormant status. Then, upon returning to an active status, the network element can use the persisted information to facilitate rapid reconstruction of infrastructure support for the mobile station's call participation.
    Type: Application
    Filed: February 14, 2005
    Publication date: September 10, 2009
    Applicant: President and Fellows of Havard College
    Inventors: Charles M. Lieber, Yue Wu, Jie Xiang, Chen Yang, Wei Lu
  • Patent number: 7585771
    Abstract: Method for manufacturing a semiconductor device, includes: forming a layer of dicobalt monosilicide (Co2Si) or of cobalt (Co) on a device-forming surface of a silicon substrate in a sputter apparatus, by utilizing a predetermined temperature profile; elevating a temperature of the silicon substrate to a predetermined temperature T2, which is equal to or higher than 600° C., conducted after forming the layer of Co or Co2Si; and forming a layer of monocobalt monosilicide (CoSi) on the device-forming surface of the silicon substrate at a temperature equal to or higher than T2, conducted after heating the silicon substrate to T2, wherein, the silicon substrate is elevated to a temperature between a highest reachable temperature T1 of the silicon substrate during forming the layer of Co or Co2Si and the temperature T2 at a temperature ramp rate of equal to or higher than 50° C./sec.
    Type: Grant
    Filed: April 24, 2006
    Date of Patent: September 8, 2009
    Assignee: NEC Electronics Corporation
    Inventors: Tomoko Matsuda, Takamasa Itou
  • Publication number: 20090203181
    Abstract: In an embodiment of the present invention, a semiconductor layer having regions into which a p-type impurity, an n-type impurity and a (p+n) impurity are respectively introduced is formed as a surface layer by being heat-treated. An impurity segregation layer on these regions is removed, and a film of a metallic material is thereafter formed on the regions and is heat-treated, thereby forming a silicide film on the semiconductor layer. In another embodiment, an impurity is introduced into the impurity segregation layer, and a film of a metallic material is thereafter formed on the impurity segregation layer and is heat-treated to form a silicide film.
    Type: Application
    Filed: January 30, 2009
    Publication date: August 13, 2009
    Inventors: Kyoichi Suguro, Mitsuaki Izuha
  • Patent number: 7569483
    Abstract: Methods of forming metal silicide layers include a convection-based annealing step to convert a metal layer into a metal silicide layer. These methods may include forming a silicon layer on a substrate and forming a metal layer (e.g., nickel layer) in direct contact with the silicon layer. A step is then performed to convert at least a portion of the metal layer into a metal silicide layer. This conversion step is includes exposing the metal layer to an inert heat transferring gas (e.g., argon, nitrogen) in a convection or conduction apparatus.
    Type: Grant
    Filed: August 8, 2005
    Date of Patent: August 4, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sug-Woo Jung, Gil-Heyun Choi, Jong-Ho Yun, Kwan-Jong Roh, Eun-Ji Jung, Hyun-Su Kim
  • Patent number: 7560331
    Abstract: A gate is silicided through its sides while limiting silicidation through the top of the gate. A blocking layer may be formed over the gate layer, and the sidewalls of the gate layer are exposed. A layer of metal is formed on the sidewalls of the gate and thermally treated to silicide the gate layer. The sidewalls of the gate maybe exposed through an etching process in which a silicide layer formed over the blocking layer is used as an etch mask.
    Type: Grant
    Filed: February 14, 2006
    Date of Patent: July 14, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyun-Su Kim, Jong-Ho Yun, Sang-Woo Lee, Seok-Woo Jung, Eun-Ji Jung
  • Patent number: 7557032
    Abstract: Methods and structures are provided for full silicidation of recessed silicon. Silicon is provided within a trench. A mixture of metals is provided over the silicon in which one of the metals diffuses more readily in silicon than silicon does in the metal, and another of the metals diffuses less readily in silicon than silicon does in the metal. An exemplary mixture includes 80% nickel and 20% cobalt. The silicon within the trench is allowed to fully silicide without void formation, despite a relatively high aspect ratio for the trench. Among other devices, recessed access devices (RADs) can be formed by the method for memory arrays.
    Type: Grant
    Filed: September 1, 2005
    Date of Patent: July 7, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Hasan Nejad, Thomas A. Figura, Gordon A. Haller, Ravi Iyer, John Mark Meldrim, Justin Harnish
  • Patent number: 7553729
    Abstract: A method of manufacturing a non-volatile memory device includes the steps of forming gates respectively having a structure in which a gate insulating layer, a first conductive layer, a dielectric layer, a second conductive layer and a metal-silicide layer are laminated over a semiconductor substrate, annealing the metal-silicide layer at a temperature, which is the same as or lower than an annealing temperature of the dielectric layer, forming a buffer oxide layer on the entire surface, and forming a nitride layer on the buffer oxide layer.
    Type: Grant
    Filed: December 28, 2006
    Date of Patent: June 30, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Won Yeol Choi