By Chemical Mechanical Polishing (cmp) (epo) Patents (Class 257/E21.304)
  • Patent number: 7566574
    Abstract: A method of performing a double-sided process is provided. First, a wafer having a structural pattern disposed on the front surface is provided. Following that, a plurality of front scribe lines are defined on the structural pattern, and a filling layer is filled into the front scribe lines. Subsequently, the structural pattern is bonded to a carrier wafer with a bonding layer, and a plurality of back scribe lines are defined on the back surface of the wafer. Finally, the filling layer filled in the front scribe lines is removed.
    Type: Grant
    Filed: September 6, 2007
    Date of Patent: July 28, 2009
    Assignee: Touch Micro-System Technology Inc.
    Inventor: Chen-Hsiung Yang
  • Patent number: 7563717
    Abstract: The method includes chemical-mechanical polishing to planarize an insulating interlayer deposited on a lower pattern. The insulating interlayer is polished using a surfactant. The chemical-mechanical polishing includes at least two separate polishing steps of different fluxes of the surfactant. The first polishing step is performed for touching up an upper side of the insulating layer. The second polishing step is performed, after completing the first polishing step, for planarizing the insulating interlayer.
    Type: Grant
    Filed: December 28, 2005
    Date of Patent: July 21, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Ji Hyung Yune
  • Patent number: 7563719
    Abstract: A dual damascene process. A first photoresist layer with a first opening corresponding to a trench pattern is formed on a dielectric layer. A second photoresist layer with a second opening corresponding to a via pattern smaller then the trench pattern is formed on the first photoresist layer and extends to a portion of the dielectric layer. The second photoresist layer has a material character different from the first photoresist layer. A via etching process using the second photoresist as a mask is performed to form a via hole passing through the dielectric layer. A photoresist ashing process is performed to remove the second photoresist layer. A trench etching process using the first photoresist layer as a mask is performed to form a trench in the upper portion of the dielectric layer. The via etching process, the photoresist ashing process and the trench etching process are performed as a continuous process in one chamber.
    Type: Grant
    Filed: March 15, 2007
    Date of Patent: July 21, 2009
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Po-Zen Chen, Tzu-Chan Weng, Chien-Chung Chen
  • Patent number: 7560386
    Abstract: A method of manufacturing a nonvolatile semiconductor memory device may include forming a pad oxide layer pattern and a mask pattern on a semiconductor substrate, forming a trench within the semiconductor substrate with the mask pattern functioning as an etching mask, sequentially forming a first device isolation layer and a second device isolation layer that may fill the trench, forming an opening by removing the mask pattern to expose an upper surface of the pad oxide layer pattern and a sidewall of the second device isolation layer, and forming a floating gate forming region having a width wider than the opening by simultaneously removing the pad oxide layer pattern and a sidewall portion of the second device isolation layer exposed by the opening.
    Type: Grant
    Filed: March 7, 2007
    Date of Patent: July 14, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ji-hoon Cha, Woo-gwam Shim, Dong-gyun Han, Chang-ki Hong, Seung-pil Chung
  • Patent number: 7556972
    Abstract: Processes and apparatuses are disclosed for detecting and characterizing SiCOH-based dielectric materials during integrated circuit fabrication. The processes generally include chromatographically analyzing a fluid stream generated during a process employed for device fabrication, e.g., during a wet strip, a chemical mechanical planarization process and the like.
    Type: Grant
    Filed: January 25, 2007
    Date of Patent: July 7, 2009
    Assignee: International Business Machines Corporation
    Inventors: Manoj Balachandran, James A. Hagan, Ben Kim, Deoram Persaud, Adam D. Ticknor, Wei-tsu Tseng
  • Patent number: 7557002
    Abstract: Some embodiments include formation of at least one cavity in a first semiconductor material, followed by epitaxially growing a second semiconductor material over the first semiconductor material and bridging across the at least one cavity. The cavity may be left open, or material may be provided within the cavity. The material provided within the cavity may be suitable for forming, for example, one or more of electromagnetic radiation interaction components, transistor gates, insulative structures, and coolant structures. Some embodiments include one or more of transistor devices, electromagnetic radiation interaction components, transistor devices, coolant structures, insulative structures and gas reservoirs.
    Type: Grant
    Filed: August 18, 2006
    Date of Patent: July 7, 2009
    Assignee: Micron Technology, Inc.
    Inventors: David H. Wells, Eric R. Blomiley
  • Patent number: 7554171
    Abstract: The invention includes a method of forming a planarized surface over a semiconductor substrate. A substrate is provided which includes a memory array region and a peripheral region proximate the memory array region. The memory array region has a higher average elevational height than the peripheral region. Polysilazane is formed over the memory array region and over the peripheral region. The polysilazane is densified. A material is formed over the polysilazane. The material is planarized while using the densified polysilazane as a stop. The planarization forms a planarized surface which extends over the memory array and peripheral regions. The planarized surface comprises both the densified polysilazane and the material.
    Type: Grant
    Filed: January 14, 2008
    Date of Patent: June 30, 2009
    Assignee: Micron Technology, Inc.
    Inventor: Zachary B. Katz
  • Patent number: 7553677
    Abstract: A method for manufacturing a ferroelectric memory includes the steps of: (a) forming a ferroelectric capacitor by sequentially laminating, on a substrate, a lower electrode, a ferroelectric layer and an upper electrode; (b) forming a first dielectric layer that covers the ferroelectric capacitor; (c) forming a contact hole in the first dielectric layer to expose the upper electrode; (d) heating the substrate to 350° C. or higher; and (e) forming a conductive layer inside the contact hole.
    Type: Grant
    Filed: July 23, 2007
    Date of Patent: June 30, 2009
    Assignee: Seiko Epson Corporation
    Inventors: Yukio Kitahara, Tatsuo Sawasaki
  • Patent number: 7550354
    Abstract: Nanoelectromechanical transistors (NEMTs) and methods of forming the same are disclosed. In one embodiment, an NEMT may include a substrate including a gate, a source region and a drain region; an electromechanically deflectable nanotube member; and a channel member electrically insulatively coupled to the nanotube member so as to be aligned with the source region and the drain region, wherein the electromechanical deflection of the nanotube member is controllable, in response to an electrical potential applied to the gate and the nanotube member, between an off state and an on state, the on state placing the channel member in electrical connection with the source region and the drain region to form a current path.
    Type: Grant
    Filed: July 11, 2007
    Date of Patent: June 23, 2009
    Assignee: International Business Machines Corporation
    Inventor: Huilong Zhu
  • Patent number: 7550380
    Abstract: A method of forming a metal cap over a conductive interconnect in a chalcogenide-based memory device is provided and includes, forming a layer of a first conductive material over a substrate, depositing an insulating layer over the first conductive material and the substrate, forming an opening in the insulating layer to expose at least a portion of the first conductive material, depositing a second conductive material over the insulating layer and within the opening, removing portions of the second conductive material to form a conductive area within the opening, recessing the conductive area within the opening to a level below an upper surface of the insulating layer, forming a cap of a third conductive material over the recessed conductive area within the opening, depositing a stack of a chalcogenide based memory cell material over the cap, and depositing a conductive material over the chalcogenide stack.
    Type: Grant
    Filed: January 29, 2007
    Date of Patent: June 23, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Patricia C. Elkins, John T. Moore, Rita J. Klein
  • Patent number: 7547627
    Abstract: It is an object of the present invention to provide a semiconductor device including a wiring having a preferable shape. A manufacturing method includes the steps of forming a first conductive layer connected to an element and a second conductive layer thereover; forming a resist mask over the second conductive layer; processing the second conductive layer by dry etching with the use of the mask; and processing the first conductive layer by wet etching with the mask left, wherein the etching rate of the second conductive layer is higher than that of the first conductive layer in the dry etching, and wherein the etching rate of the second conductive layer is the same as or more than that of the first conductive layer in the wet etching.
    Type: Grant
    Filed: November 15, 2005
    Date of Patent: June 16, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Satoru Okamoto, Teruyuki Fujii, Hideto Ohnuma, Akihiro Ishizuka
  • Patent number: 7544983
    Abstract: Following CMP, a magnetic tunnel junction stack may protrude through the oxide that surrounds it, making it susceptible to possible shorting to its sidewalls. The present invention overcomes this problem by depositing silicon nitride spacers on these sidewalls prior to oxide deposition and CMP. So, even though the stack may protrude through the top surface of the oxide after CMP, the spacers serve to prevent possible later shorting to the stack.
    Type: Grant
    Filed: July 3, 2007
    Date of Patent: June 9, 2009
    Assignees: Headway Technologies, Inc., Applied Spintronics, Inc.
    Inventor: Lin Yang
  • Patent number: 7544618
    Abstract: A chemical mechanical polishing method is disclosed. The method includes forming a film on a wafer having at least one trench structure thereon; polishing the surface of the film by providing a polishing composition to provide a first polished surface; rinsing the first polished surface with a rinse composition to provide a rinsed surface; and polishing the rinsed surface by providing a second polishing composition to provide a second polished surface.
    Type: Grant
    Filed: May 18, 2006
    Date of Patent: June 9, 2009
    Assignee: Macronix International Co., Ltd.
    Inventors: Chun-Fu Chen, Yung-Tai Hung, Chi-Tung Huang, Yun-Chi Yang
  • Patent number: 7538005
    Abstract: A semiconductor device is composed of: an interconnect made of a first conductive film and a second conductive film that are stacked in sequence from the interconnect underside on an insulating film formed on a substrate; and a capacitor composed of a lower capacitor electrode made of the first conductive film, a dielectric film formed on the lower capacitor electrode, and an upper capacitor electrode made of the second conductive film and formed on the dielectric film.
    Type: Grant
    Filed: March 6, 2007
    Date of Patent: May 26, 2009
    Assignee: Panasonic Corporation
    Inventors: Kyoko Egashira, Shin Hashimoto
  • Patent number: 7538035
    Abstract: A method for work hardening gold contact pads is disclosed. The method includes providing gold contact pads, providing lapping pads, and placing the lapping pads in contact with the gold contact pads to create a contact interface. A liquid medium is then applied to the contact interface while moving the lapping pads relative to the gold contact pads. The liquid medium is preferably a particulate-free pH neutral liquid, which makes water a good choice. Also disclosed is a gold pad having a work hardened surface.
    Type: Grant
    Filed: March 18, 2005
    Date of Patent: May 26, 2009
    Assignee: Hitachi Global Storage Technologies Netherlands B.V.
    Inventors: Ronald Dunham, Wolfgang Goubau, Bhargav Patel, Marvin Wong, John Jaekoyun Yang
  • Patent number: 7535077
    Abstract: A semiconductor device having a semiconductor substrate includes an active region for forming transistors in which a gate is installed. An element isolation region for isolating each of transistors from others includes an ASTI structure. A stress region is located at the interface with the element isolation region within the active region. In the stress region, a potential stress caused by the difference between a material for the element isolation region and a material of the semiconductor substrate is generated, so that a first impurity region for a source and/or a drain is formed in the active region in which the gate is not formed and/or forming the element isolation region. A first impurity region at least includes a first impurity for a source and/or a drain, which is formed in the active region except the stress region and the gate. A second ion impurity region includes a second impurity, each of which mass is smaller than the first impurity, at least in a region having the stress region.
    Type: Grant
    Filed: July 2, 2007
    Date of Patent: May 19, 2009
    Assignee: Seiko Epson Corporation
    Inventor: Kanshi Abe
  • Patent number: 7524733
    Abstract: According to some embodiments of the invention, a method includes preparing a semiconductor substrate having an active region, doping channel ions in the active region, forming a planarized selective epitaxial growth (SEG) layer in a predetermined region of the active region doped with the channel ions, sequentially forming a gate insulating layer, a gate conductive layer and a gate hard mask layer on the semiconductor substrate having the planarized SEG layer, forming a gate pattern crossing the active region by sequentially patterning the gate hard mask layer and the gate conductive layer, the planarized SEG layer being located at one side of the gate pattern, and forming source/drain regions by implanting impurity ions using the gate pattern as an ion implantation mask. Accordingly, there is provided an asymmetric source/drain transistor capable of preventing a leakage current by diffusing the channel ions into the SEG layer.
    Type: Grant
    Filed: April 16, 2007
    Date of Patent: April 28, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyeoung-Won Seo, Nak-Jin Son, Du-Heon Song, Jun Seo
  • Patent number: 7524722
    Abstract: A resistance type memory device is provided. The resistance type memory device is disposed on a substrate and includes a tungsten electrode, an upper electrode, and a tungsten oxide layer. The upper electrode is disposed on the tungsten electrode. The tungsten oxide layer is sandwiched between the tungsten electrode and the upper electrode.
    Type: Grant
    Filed: April 3, 2007
    Date of Patent: April 28, 2009
    Assignee: MACRONIX International Co., Ltd.
    Inventors: Ming-Daou Lee, Chia-Hua Ho, Erh-Kun Lai, Kuang-Yeu Hsieh
  • Patent number: 7524742
    Abstract: A process and structure for a metal interconnect includes providing a substrate with a first electric conductor, forming a first dielectric layer and a first patterned hard mask, using the first patterned hard mask to form a first opening and a second electric conductor, forming a second dielectric layer and a second patterned hard mask, using the second patterned hard mask as an etching mask and using a first patterned hard mask as an etch stop layer to form a second opening and a third electric conductor.
    Type: Grant
    Filed: May 14, 2007
    Date of Patent: April 28, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Pei-Yu Chou, Chun-Jen Huang
  • Patent number: 7524752
    Abstract: In a method of manufacturing a semiconductor device which method is made up of a process of forming a wiring groove using a hard mask, a metal hard mask 107 is used to form a wiring groove 111, allowing the shape of the wiring groove 111 to be stabilized. Furthermore, a part or all of the metal hard mask 107 is removed before the formation of TaN and Cu layers in the wiring groove 111. This enables a reduction in possible damage, which may increase the dielectric constant of the surface of low-dielectric-constant film, and thus in possible inter-wire leakage current. As a result, a reliable semiconductor device can be provided.
    Type: Grant
    Filed: June 10, 2008
    Date of Patent: April 28, 2009
    Assignee: Panasonic Corporation
    Inventor: Makoto Tsutsue
  • Patent number: 7518214
    Abstract: An integrated circuit of a semiconductor device has a line type of pattern that is not prone to serious RC delays. The integrated circuit has a line formed of at least a layer of polycrystalline silicon, a layer of metal having a low sheet resistance, and a layer of a barrier metal interposed between the polycrystalline silicon and the metal having a low sheet resistance, and first spacers disposed on the sides of the line, respectively, and is characterized in that the line has recesses at the sides of the barrier layer and the first spacers fill the recesses. The integrated circuit may constitute a gate line of a semiconductor device. The integrated circuit is formed by forming layers of polycrystalline silicon, metal having a low sheet resistance, and a barrier metal one atop the other, patterning the layers into a line, etching the same to form the recesses, and then forming the first spacers.
    Type: Grant
    Filed: October 26, 2006
    Date of Patent: April 14, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong-chan Lim, Byung-hee Kim, Tae-ho Cha, Hee-sook Park, Geum-jung Seong
  • Patent number: 7514366
    Abstract: A method of depositing dielectric material into sub-micron spaces and resultant structures is provided. After a trench is etched in the surface of a wafer, a silicon nitride barrier is deposited into the trench. The silicon nitride layer has a high nitrogen content near the trench walls to protect the walls. The silicon nitride layer further from the trench walls has a low nitrogen content and a high silicon content, to allow improved adhesion. The trench is then filled with a spin-on precursor. A densification or reaction process is then applied to convert the spin-on material into an insulator. The resulting trench has a well-adhered insulator which helps the insulating properties of the trench.
    Type: Grant
    Filed: September 5, 2006
    Date of Patent: April 7, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Jigish D. Trivedi, Robert D. Patraw, Kevin L. Beaman, John A. Smythe, III
  • Publication number: 20090087989
    Abstract: The invention provides a polishing liquid used for chemical mechanical polishing during planarization of a semiconductor integrated circuit, having at least: a benzotriazole compound (A) represented by the following Formula (1); an acid (B); and a water-soluble polymer (C). The invention further provides a polishing method for planarizing a semiconductor integrated circuit, the polishing method includes at least essentially chemically and mechanically polishing a barrier layer of the semiconductor integrated circuit using the polishing liquid. In Formula (1), each of R01 to R05 independently represents a hydrogen atom or an alkyl group, and at least one of R01 to R05 represents an alkyl group.
    Type: Application
    Filed: September 24, 2008
    Publication date: April 2, 2009
    Applicant: FUJIFILM CORPORATION
    Inventor: Tetsuya Kamimura
  • Patent number: 7510972
    Abstract: A method of processing a substrate which enables a surface damaged layer and polishing remnants on the surface of an insulating film to be removed, and enable the amount removed of the surface damaged layer and polishing remnants to be controlled easily. An insulating film on a substrate, which has been revealed by chemical mechanical polishing, is exposed to an atmosphere of a mixed gas containing ammonia and hydrogen fluoride under a predetermined pressure. The insulating film which has been exposed to the atmosphere of the mixed gas is heated to a predetermined temperature.
    Type: Grant
    Filed: February 14, 2006
    Date of Patent: March 31, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Eiichi Nishimura, Kenya Iwasaki
  • Patent number: 7498273
    Abstract: Methods of depositing a dielectric layer in a gap formed on a substrate are described. The methods include introducing an organo-silicon precursor and an oxygen precursor to a deposition chamber. The organo-silicon precursor has a C:Si atom ratio of less than 8, and the oxygen precursor comprises atomic oxygen that is generated outside the deposition chamber. The precursors are reacted to form the dielectric layer in the gap. Methods of filling gaps with dielectric materials are also described. These methods include providing an organo-silicon precursor having a C:Si atom ratio of less than 8 and an oxygen precursor, and generating a plasma from the precursors to deposit a first portion of the dielectric material in the gap. The dielectric material may be etched, and a second portion of dielectric material may be formed in the gap. The first and second portions of the dielectric material may be annealed.
    Type: Grant
    Filed: October 16, 2006
    Date of Patent: March 3, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Abhijit Basu Mallick, Jeffrey C. Munro, Srinivas D. Nemani
  • Patent number: 7498265
    Abstract: Memory cell structures, including PSOIs, NANDs, NORs, FinFETs, etc., and methods of fabrication have been described that include a method of epitaxial silicon growth. The method includes providing a silicon layer on a substrate. A dielectric layer is provided on the silicon layer. A trench is formed in the dielectric layer to expose the silicon layer, the trench having trench walls in the <100> direction. The method includes epitaxially growing silicon between trench walls formed in the dielectric layer.
    Type: Grant
    Filed: October 4, 2006
    Date of Patent: March 3, 2009
    Assignee: Micron Technology, Inc.
    Inventors: David H. Wells, Du Li
  • Patent number: 7498214
    Abstract: A semiconductor device may include first and second silicon layers formed over a semiconductor substrate. An insulating layer may be formed between first and second silicon layers. A gate insulating layer, a gate electrode, and a spacer may be formed over a second silicon layer. A source/drain impurity area may be formed over a second silicon layer on both sides of a gate electrode.
    Type: Grant
    Filed: October 11, 2006
    Date of Patent: March 3, 2009
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Myung Jin Jung
  • Patent number: 7495337
    Abstract: A dual-gate device is formed over and insulated from a semiconductor substrate which may include additional functional circuits that can be interconnected to the dual-gate device. The dual-gate device includes two semiconductor devices formed on opposite surfaces of a common active semiconductor region which is provided a thickness and material sufficient to isolate the semiconductor devices from electrostatically interacting. In one embodiment, one of the semiconductor devices includes a charge storing layer, such as an ONO layer. Such a dual-gate device is suitable for use in a non-volatile memory array.
    Type: Grant
    Filed: February 6, 2007
    Date of Patent: February 24, 2009
    Inventors: Andrew J. Walker, Maitreyee Mahajani
  • Patent number: 7491600
    Abstract: A method for making a multibit non-volatile memory cell structure is provided herein. In accordance with the method, a semiconductor substrate (101) is provided, and first and second sets of memory stacks (103, 105, 107, and 109) are formed on the substrate, each memory stack including a control gate (111) and a layer of memory material (113). A source/drain region (123) is then formed between the first and second sets of memory stacks, and a silicide layer (125) is formed over the source/drain region.
    Type: Grant
    Filed: November 4, 2005
    Date of Patent: February 17, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Erwin J. Prinz, Gowrishankar L. Chindalore, Paul A. Ingersoll
  • Patent number: 7488686
    Abstract: A method of fabricating three-dimensional structures from a plurality of adhered layers of at least a first and a second material wherein the first material is a conductive material and wherein each of a plurality of layers includes treating a surface of a first material prior to deposition of the second material. The treatment of the surface of the first material either (1) decreases the susceptibility of deposition of the second material onto the surface of the first material or (2) eases or quickens the removal of any second material deposited on the treated surface of the first material. In some embodiments the treatment of the first surface includes forming a dielectric coating over the surface and the second material is electrodeposited (e.g. using an electroplating or electrophoretic process). In other embodiments the first material is coated with a conductive material that doesn't readily accept deposits of electroplated or electroless deposited materials.
    Type: Grant
    Filed: September 19, 2006
    Date of Patent: February 10, 2009
    Assignee: Microfabrica Inc.
    Inventors: Adam L. Cohen, Dennis R. Smalley, Michael S. Lockard, Qui T. Le
  • Patent number: 7476970
    Abstract: A method for fabricating a semiconductor device utilizing the step of forming a first insulating film of a porous material over a substrate; the step of forming on the first insulating film a second insulating film containing a silicon compound containing Si—CH3 bonds by 30-90%, and the step of irradiating UV radiation with the second insulating film formed on the first insulating film to cure the first insulating film. Thus, UV radiation having the wavelength which eliminates CH3 groups is sufficiently absorbed by the second insulating film, whereby the first insulating film is highly strengthened with priority by the UV cure, and the first insulating film can have the film density increased without having the dielectric constant increased.
    Type: Grant
    Filed: June 27, 2006
    Date of Patent: January 13, 2009
    Assignee: Fujitsu Limited
    Inventors: Shirou Ozaki, Yoshihiro Nakata, Ei Yano
  • Patent number: 7473630
    Abstract: A technology for inhibiting the dielectric breakdown occurred in a semiconductor device is provided. A semiconductor device includes a semiconductor substrate (not shown), an interlayer insulating film 102 formed on the semiconductor substrate and a multiple-layered insulating film 140 provided on the interlayer insulating film 102. The semiconductor device also includes an electric conductor that extends through the multiple-layered insulating film 140 and includes a Cu film 120 and a barrier metal film 118. The barrier metal film 118 is covers side surfaces and a bottom surface of the Cu film 120. An insulating film 116 is disposed between the multiple-layered insulating film 140 and the electric conductor (i.e., Cu film 120 and barrier metal film 118).
    Type: Grant
    Filed: October 4, 2006
    Date of Patent: January 6, 2009
    Assignee: NEC Electronics Corporation
    Inventors: Tatsuya Usami, Noboru Morita, Koichi Ohto
  • Patent number: 7470998
    Abstract: The present invention relates to a semiconductor device in which an electrode of a device formed on a substrate such as a semiconductor wafer and an electrode of a wiring structure such as an interposer are connected to each other through a connecting electrode extending through the substrate, and a method of manufacturing the same. A semiconductor device according to the present invention comprises a first substrate including a front surface and a back surface, a first device having a first electrode being formed on the front surface; and a wiring structure formed with a second electrode, the wiring structure having a principal surface. The first electrode of the first device and the second electrode of the wiring structure are connected to each other by a connecting electrode extending through the first substrate from the front surface to the back surface thereof. Substantially all the back surface of the first substrate is bonded to the principal surface of the wiring structure.
    Type: Grant
    Filed: March 13, 2006
    Date of Patent: December 30, 2008
    Assignees: Octec Inc., Tokyo Electron Limited, Sharp Kabushiki Kaisha, Ibiden Co., Ltd.
    Inventors: Katsuya Okumura, Koji Maruyama, Kazuya Nagaseki, Akiteru Rai
  • Patent number: 7465625
    Abstract: According to an embodiment of the invention, a flash memory cell includes a first gate stack and a second gate stack having a film deposited across the gap between the first and second gate stacks so that the film creates a void between the first and second gate stacks. Dielectric materials may be used to reduce conductivity between the two stacks. A dielectric material that is resistant to conductivity has a low dielectric constant (k). The lowest-k dielectric material is air, which has a dielectric constant of approximately 1. By creating a void between the two gate stacks, the least conductive material (air) is left filling the space between the gate stacks, and the likelihood of parasitic coupling of two adjacent floating gates is substantially reduced.
    Type: Grant
    Filed: October 17, 2006
    Date of Patent: December 16, 2008
    Inventors: Been-jon K. Woo, Yudong Kim, Albert Fazio
  • Patent number: 7465663
    Abstract: In fabrication of a semiconductor device which is provided with resistances and MOS transistors on the same substrate, conduction failures of contacts and leaching of wiring metal into a silicon substrate is prevented. Firstly, an underlying structure is prepared. Then, a silicon oxide layer is formed on the underlying structure. Then, a silicon nitride layer is formed on the silicon oxide layer. Then, an inter-layer insulation layer is formed on the silicon nitride layer. Then, a contact hole is formed penetrating through a laminate of the silicon oxide layer, the silicon nitride layer and the inter-layer insulation layer. A thickness of the silicon oxide layer is a value in a range from 32 nm to 48 nm.
    Type: Grant
    Filed: March 8, 2007
    Date of Patent: December 16, 2008
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Hiroshi Yonekura
  • Patent number: 7465669
    Abstract: Embodiments of methods for fabricating a silicon nitride stack on a semiconductor substrate are provided herein. In one embodiment, a method for fabricating a silicon nitride stack on a semiconductor substrate includes depositing a base layer including silicon nitride on the substrate using a first set of process conditions that selectively control the stress of the base layer; and depositing an upper layer including silicon nitride using a second set of process conditions that selectively control at least one of an oxidation resistance and a refractive index of the upper layer.
    Type: Grant
    Filed: November 12, 2005
    Date of Patent: December 16, 2008
    Assignee: Applied Materials, Inc.
    Inventors: R. Suryanarayanan Iyer, Sanjeev Tandon, Kangzhan Zhang, Rubi Lapena, Yuji Maeda
  • Patent number: 7462896
    Abstract: The object is simplification of a manufacturing process for nonvolatile memory by reducing additional processes for forming a charge storage structure, and downsizing of nonvolatile memory.
    Type: Grant
    Filed: June 29, 2006
    Date of Patent: December 9, 2008
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Takaharu Nakamura, Tetsuhiro Maruyama, Masao Tsujimoto, Ikuo Kurachi
  • Patent number: 7462562
    Abstract: Fabrication method of semiconductor device to reduce leak current at junction interface of p-type well and n-type well. The method includes forming a first trench portion by selective dry etching of a silicon substrate using a first etching gas and forming a second trench portion including an enlarged width portion downward from a bottom of the first trench portion by additional dry etching of a silicon substrate at the bottom of the first trench portion using a second etching gas. A mixture gas of a chlorine gas and a fluorocarbon gas is used as the second etching gas and also a bias voltage lower than that in the process to form the first trench portion are used in the process to form the second trench portion.
    Type: Grant
    Filed: October 12, 2006
    Date of Patent: December 9, 2008
    Assignee: NEC Electronics Corporation
    Inventor: Osamu Fujita
  • Patent number: 7462521
    Abstract: A dual-gate device is formed over and insulated from a semiconductor substrate which may include additional functional circuits that can be interconnected to the dual-gate device. The dual-gate device includes two semiconductor devices formed on opposite surfaces of a common active semiconductor region which is provided a thickness and material sufficient to isolate the semiconductor devices from electrostatically interacting. In one embodiment, one of the semiconductor devices includes a charge storing layer, such as an ONO layer. Such a dual-gate device is suitable for use in a non-volatile memory array.
    Type: Grant
    Filed: November 29, 2004
    Date of Patent: December 9, 2008
    Inventors: Andrew J. Walker, Maitreyee Mahajani
  • Patent number: 7459370
    Abstract: In one aspect, a method of fabricating a semiconductor memory device is provided which includes forming a mold insulating film over first and second portions of a semiconductor substrate, where the mold insulating film includes a plurality of storage node electrode holes spaced apart over the first portion of the semiconductor substrate. The method further includes forming a plurality of storage node electrodes on inner surfaces of the storage node electrode holes, respectively, and forming a capping film which covers the storage node electrodes and a first portion of the mold insulating film located over the first portion of the semiconductor substrate, and which exposes a second portion of the mold insulating film located over the second portion of the semiconductor substrate.
    Type: Grant
    Filed: October 12, 2006
    Date of Patent: December 2, 2008
    Assignee: Samsung Electronics Co., ltd.
    Inventors: Dae-hyuk Kang, Jung-min Oh, Chang-ki Hong, Sang-jun Choi, Woo-gwan Shim
  • Patent number: 7452818
    Abstract: The disclosure provides a method for manufacturing a semiconductor device. The method, in one embodiment, includes forming semiconductor features (405, 410, 415, 420, 425, 430, 435, 440, 445) over a substrate (310), and then forming a layer of material (510) over the semiconductor features (405, 410, 415, 420, 425, 430, 435, 440, 445). This method further includes selectively etching portions of the layer of material (510) based upon a density or size of the semiconductor features (405, 410, 415, 420, 425, 430, 435, 440, 445) located thereunder, and then polishing remaining portions of the layer of material (510).
    Type: Grant
    Filed: March 30, 2007
    Date of Patent: November 18, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Kyle Hunt, Neel Bhatt, Asadd M. Hosein, Brian L. Vialpando, William R. Morrison
  • Patent number: 7452801
    Abstract: Provided is a metal interconnection structure of a semiconductor device, including a first metal film pattern disposed on an upper part of an insulation film of a semiconductor substrate; an intermetallic dielectric film having a metal contact plug in which a barrier layer, a metal film for contact plug and a second metal film are sequentially disposed, on the first metal film pattern; and a second metal film pattern disposed on the metal contact plug and intermetallic dielectric film and connected to the metal contact plug.
    Type: Grant
    Filed: November 7, 2005
    Date of Patent: November 18, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventors: In Cheol Ryu, Sung-gon Jin
  • Patent number: 7452817
    Abstract: A chemical mechanical polishing (CMP) method is disclosed for use in the fabrication of a semiconductor device having dense and sparse regions. The method uses an abrasive stop layer formed on the dense and sparse regions to control polishing of a material layer formed on the abrasive stop layer by a rigid, fixed abrasive polishing pad.
    Type: Grant
    Filed: October 5, 2006
    Date of Patent: November 18, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ilyoung Yoon, Jae Ouk Choo, JaEung Koo
  • Patent number: 7452816
    Abstract: This invention includes a chemical mechanical polishing method including providing a substrate having an organic material to be polished by chemical mechanical polishing. In one implementation, the organic material is chemical mechanically polished using a polishing pad downforce on the substrate of less than or equal to 1.75 psi, using an aqueous slurry comprising abrasive particles comprising an individual particle size of less than or equal to 100 nanometers and at a particle concentration of less than or equal to 20% by weight, and at least one of an acid or a surfactant effective to achieve a removal rate of the organic material of at least 500 Angstroms per minute. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: July 26, 2006
    Date of Patent: November 18, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Zhenyu Lu, Naga Chandrasekaran, Andrew Carswell
  • Patent number: 7446045
    Abstract: In an independent GaN film manufactured by creating a GaN layer on a base heterosubstrate using vapor-phase deposition and then removing the base substrate, owing to layer-base discrepancy in thermal expansion coefficient and lattice constant, warp will be a large ±40 ?m to ±100 ?m. Since with that warp device fabrication by photolithography is challenging, reducing the warp to +30 ?m to ?20 ?m is the goal. The surface deflected concavely is ground to impart to it a damaged layer that has a stretching effect, making the surface become convex. The damaged layer on the surface having become convex is removed by etching, which curtails the warp. Alternatively, the convex surface on the side opposite the surface having become convex is ground to generate a damaged layer. With the concave surface having become convex due to the damaged layer, suitably etching off the damaged layer curtails the warp.
    Type: Grant
    Filed: March 29, 2007
    Date of Patent: November 4, 2008
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventor: Naoki Matsumoto
  • Patent number: 7446010
    Abstract: A method is provided for forming a metal/semiconductor/metal (MSM) back-to-back Schottky diode from a silicon (Si) semiconductor. The method deposits a Si semiconductor layer between a bottom electrode and a top electrode, and forms a MSM diode having a threshold voltage, breakdown voltage, and on/off current ratio. The method is able to modify the threshold voltage, breakdown voltage, and on/off current ratio of the MSM diode in response to controlling the Si semiconductor layer thickness. Generally, both the threshold and breakdown voltage are increased in response to increasing the Si thickness. With respect to the on/off current ratio, there is an optimal thickness. The method is able to form an amorphous Si (a-Si) and polycrystalline Si (polySi) semiconductor layer using either chemical vapor deposition (CVD) or DC sputtering. The Si semiconductor can be doped with a Group V donor material, which decreases the threshold voltage and increases the breakdown voltage.
    Type: Grant
    Filed: May 17, 2006
    Date of Patent: November 4, 2008
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Tingkai Li, Sheng Teng Hsu, David R. Evans
  • Patent number: 7446366
    Abstract: A method for void free filling with in-situ doped amorphous silicon of a deep trench structure is provided in which a first fill is carried out in at a temperature, pressure and dopant to silane ratio such that film deposition occurs from the bottom of the trench upwards. By way of this first fill, step coverages well in excess 100% are achieved. In the second fill step, deposition is carried out under changed conditions so as to reduce the impact of dopant on deposition rate, whereby trench fill is completed at a deposition rate which exceeds the deposition rate of the first fill. In an application of this method to the formation of deep trench capacitor structures, the intermediate steps further including the capping of the void free filled trench with a thick layer of amorphous silicon, planarization of the wafer thereafter, followed by a thermal anneal to re-distribute the dopant within the filled trench. Thereafter, additional steps can be performed to complete the formation of the capacitor structure.
    Type: Grant
    Filed: May 30, 2006
    Date of Patent: November 4, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Ajit Paranjpe, Somnath Nag
  • Publication number: 20080265420
    Abstract: A method of forming a fully silicided semiconductor device with independent gate and source/drain doping and related device. At least some of the illustrative embodiments are methods comprising forming a gate stack over a substrate (the gate stack comprising a polysilicon layer and a blocking layer), and performing an ion implantation into an active region of the substrate adjacent to the gate stack (the blocking layer substantially blocks the ion implantation from the polysilicon layer).
    Type: Application
    Filed: April 27, 2007
    Publication date: October 30, 2008
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Shaofeng Yu, Freidoon Mehrad, Jiong-Ping Lu
  • Patent number: 7439185
    Abstract: A method of fabricating a semiconductor device having an air-gapped multilayer interconnect wiring structure is disclosed. After having formed a first thin film on or above a substrate, define a first opening in the first thin film. Then, deposit a conductive material in the first opening. Then form a second thin film made of a porous material above the first thin film with the conductive material being deposited in the first opening. Next, define in the second thin film a second opening extending therethrough, followed by deposition of a conductive material in the second opening. The first thin film is removed through voids in the second thin film after having deposited the conductive material in the second opening. An integrated semiconductor device as manufactured thereby is also disclosed.
    Type: Grant
    Filed: February 3, 2006
    Date of Patent: October 21, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Akihiro Kojima
  • Patent number: 7435674
    Abstract: Dielectric interconnect structures and methods for forming the same are provided. Specifically, the present invention provides a dielectric interconnect structure having a noble metal layer (e.g., Ru, Ir, Rh, Pt, RuTa, and alloys of Ru, Ir, Rh, Pt, and RuTa) that is formed directly on a modified dielectric surface. In a typical embodiment, the modified dielectric surface is created by treating an exposed dielectric layer of the interconnect structure with a gaseous ion plasma (e.g., Ar, He, Ne, Xe, N2, H2, NH3, and N2H2). Under the present invention, the noble metal layer could be formed directly on an optional glue layer that is maintained only on vertical surfaces of any trench or via formed in the exposed dielectric layer. In addition, the noble metal layer may or may not be provided along an interface between the via and an internal metal layer.
    Type: Grant
    Filed: March 27, 2006
    Date of Patent: October 14, 2008
    Assignee: International Business Machines Corporation
    Inventors: Chih-Chao Yang, Louis C. Hsu, Rajiv V. Joshi