By Chemical Mechanical Polishing (cmp) (epo) Patents (Class 257/E21.304)
  • Patent number: 7432200
    Abstract: Methods of fabricating an interconnect utilizing an electroless deposition technique, which fundamentally consists of providing a dielectric material layer having an opening extending into the dielectric material from a first surface thereof, and electrolessly depositing a conductive material within the opening. Various processing steps and structures may be utilized in the fabrication of the interconnect, which may include but is not limited to forming barrier layers, utilizing seed materials, utilizing activation materials, and treating the dielectric material to be receptive to electroless deposition.
    Type: Grant
    Filed: December 15, 2005
    Date of Patent: October 7, 2008
    Assignee: Intel Corporation
    Inventors: Shaestagir Chowdhury, Chi-Hwa Tsang
  • Publication number: 20080242013
    Abstract: A semiconductor device and a method of manufacturing such a semiconductor device having a field effect transistor with improved current driving performance (e.g., an increase of drain current) of the field effect transistor comprising the steps of ion implanting an element from the main surface to the inside of a silicon layer as a semiconductor substrate to a level shallower than the implantation depth of the impurities in the step of forming the semiconductor region before the step of ion implanting impurities from the main surface to the inside of the silicon layer as a semiconductor substrate to form the semiconductor region being aligned with the gate electrode.
    Type: Application
    Filed: May 1, 2008
    Publication date: October 2, 2008
    Inventors: Katsuhiro Mitsuda, Mitsuharu Honda, Akira Ilzuka
  • Patent number: 7427561
    Abstract: A semiconductor device manufacturing method wherein a metal suicide layer is formed via an in-situ process. The method includes forming a gate electrode on a semiconductor substrate; forming an insulation side wall at either lateral surface of the gate electrode; forming a source/drain region in a surface of the semiconductor substrate at either side of the gate electrode; forming a metal layer on the surface of the semiconductor substrate including the gate electrode; performing a plasma treatment on the metal layer; forming a capping material layer on the metal layer; performing an annealing process upon the semiconductor substrate, to form a metal silicide layer on the surface of the semiconductor substrate at positions corresponding to the gate electrode and the source/drain region; and removing the capping material layer and the metal layer remained without reaction with the gate electrode and the semiconductor substrate.
    Type: Grant
    Filed: December 5, 2005
    Date of Patent: September 23, 2008
    Assignee: Dongbuanam Semiconductor, Inc.
    Inventor: Han Choon Lee
  • Patent number: 7422982
    Abstract: A method and apparatus for electroprocessing a substrate is provided. In one embodiment, a method for electroprocessing a substrate includes the steps of biasing a first electrode to establish a first electroprocessing zone between the electrode and the substrate, and biasing a second electrode disposed radially inward of the first electrode with a bias that is different than the bias applied to the first electrode. In one embodiment, the first electrode is coated with an inert material and in this way the same polish rate is obtained with a lower potential level applied to the first electrode.
    Type: Grant
    Filed: July 7, 2006
    Date of Patent: September 9, 2008
    Assignee: Applied Materials, Inc.
    Inventors: You Wang, Jie Diao, Stan D. Tsai, Lakshmanan Karuppiah
  • Patent number: 7419906
    Abstract: A method of manufacturing a through conductor that penetrates from an upper surface of a silicon substrate to its lower surface. The through conductor is manufactured in steps which provide a first conductor which extends in the direction of thickness of the silicon substrate from the upper surface of the silicon substrate, and a second conductor which has a size in the direction orthogonal to the thickness direction is smaller than that of the first conductor and which penetrates the silicon substrate from a bottom face of the first conductor to the lower surface of the silicon substrate.
    Type: Grant
    Filed: March 24, 2006
    Date of Patent: September 2, 2008
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Osamu Kato
  • Patent number: 7416987
    Abstract: According to the present invention, there is a provided a semiconductor device fabrication method having, forming a mask material in a surface portion of a semiconductor substrate, and forming a step having a projection by using the mask material; forming a dielectric film on the semiconductor substrate so as to fill the step and planarize an entire surface; annealing the dielectric film; etching back the dielectric film such that a surface of the dielectric film is positioned between upper and lower surfaces of the mask material; and removing the mask material to expose a surface of the projection of the semiconductor substrate.
    Type: Grant
    Filed: June 22, 2006
    Date of Patent: August 26, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Katsuhiko Hieda, Masahiro Kiyotoshi
  • Patent number: 7416942
    Abstract: A method for manufacturing a semiconductor device is provided. The method includes successively forming a first silicon film and a mask film above a semiconductor substrate through a gate insulating film, forming a plurality of trenches in the first silicon film and in the mask film to a depth to reach the semiconductor substrate, filling the plurality of trenches with the silicon oxide film, removing the mask film to expose the first silicon film existing between the silicon oxide films, selectively growing a second silicon film on the first silicon film, planarizing the second silicon film using an alkaline slurry exhibiting a pH of 13 or less and containing abrasive grains and a cationic surfactant, thereby obtaining a floating gate electrode film comprising the first and second silicon films, forming an interelectrode insulating film on the entire surface, and forming a control gate electrode film on the interelectrode insulating film.
    Type: Grant
    Filed: February 21, 2007
    Date of Patent: August 26, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yukiteru Matsui, Shinichi Hirasawa, Atsushi Shigeta, Kiyotaka Miyano, Takeshi Nishioka, Hiroyuki Yano
  • Patent number: 7413989
    Abstract: A semiconductor wafer including an underlying layer including an insulating film having at least one recess therein and a metallic material layer formed over a top surface of the underlying layer and filling the recess, on a semiconductor substrate, is subjected to a polishing treatment while supplying a basic CMP slurry containing metal ions on the semiconductor wafer to at least partially remove the metallic material layer. Then, an organic acid which chelates the metal ions is added to the basic CMP slurry, and polishing is conducted, using the organic acid-added CMP slurry, until a surface of the insulating film is exposed.
    Type: Grant
    Filed: September 21, 2004
    Date of Patent: August 19, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Atsushi Shigeta, Kazuhiko Ida, Yoshitaka Matsui
  • Patent number: 7410854
    Abstract: Generally disclosed is a method of a device comprising forming a polysilicon stack including a first and a second polysilicon layer with an intervening etch stop layer, wherein the first polysilicon layer height is at least one third a height of the polysilicon stack height, removing the second polysilicon layer and the etch stop layer, and reacting the first polysilicon layer with a metal to fully silicide the first polysilicon layer. Fully silicided (FUSI) gates can hence be formed with uniform gate height. The thin first polysilicon layer allows for siliciding with a lower thermal budge and with better uniformity of the silicide concentration throughout the layer.
    Type: Grant
    Filed: October 5, 2006
    Date of Patent: August 12, 2008
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Liang-Gi Yao, Hun-Jan Tao, Shih-Chang Chen, Mong-Song Liang
  • Patent number: 7408215
    Abstract: A DRAM structure on a silicon substrate has an active area, gate conductors, deep trench capacitors, and vertical transistors. The deep trench capacitors are formed at intersections of the active area and the gate conductors, and each deep trench capacitor is coupled electrically to the corresponding vertical transistor to form a memory cell. The transistor includes a gate, a source in a lateral side of the gate, and a drain in another lateral side of the gate The depth of the drain is different from the depth of the source.
    Type: Grant
    Filed: April 3, 2007
    Date of Patent: August 5, 2008
    Assignee: Nanya Technology Corp.
    Inventors: Ming-Cheng Chang, Neng-Tai Shih
  • Publication number: 20080182413
    Abstract: Methods and compositions for planarizing a substrate surface with selective removal rates and low dishing are provided. One embodiment provides a method for selectively removing a dielectric disposed on a substrate having at least a first and a second dielectric material disposed thereon. The method generally includes positioning the substrate in proximity with a fixed abrasive polishing pad, dispensing an abrasive free polishing composition having at least one organic compound and a surfactant therein between the substrate and the polishing pad, and selectively polishing the second dielectric material relative to the first dielectric material.
    Type: Application
    Filed: August 15, 2007
    Publication date: July 31, 2008
    Inventors: GREGORY E. MENK, Robert L. Jackson, Garlen C. Leung, Gopalakrishna B. Prabhu, Peter McReynolds, Anand N. Iyer
  • Publication number: 20080171441
    Abstract: In polishing of a surface to be polished in production of a semiconductor integrated circuit device, it is possible to obtain a flat surface of an insulating layer having an embedded metal wiring. Further, it is possible to obtain a semiconductor integrated circuit device having a highly planarized multilayer structure. A polishing compound for chemical mechanical polishing to polish a surface to be polished for a semiconductor integrated circuit device, which comprises abrasive particles (A) having an average primary particle size in a range of from 5 to 300 nm and an association ratio in the polishing compound in a range from 1.5 to 5, an oxidizing agent (B), a protective film-forming agent (C), an acid (D), a basic compound (E) and water (F).
    Type: Application
    Filed: December 28, 2007
    Publication date: July 17, 2008
    Applicants: ASAHI GLASS CO., LTD., AGC Seimi Chemical Co., Ltd.
    Inventor: Satoshi Takemiya
  • Publication number: 20080171440
    Abstract: A pre-polishing treatment solution has a prominent corrosion inhibiting effect, and can be used in pre-polishing treatments for interconnect substrates. The pre-polishing treatment solution comprises a corrosion inhibitor dissolved in an organic solvent.
    Type: Application
    Filed: January 15, 2008
    Publication date: July 17, 2008
    Inventors: Akira Kodera, Takayuki Saito, Yasushi Toma, Tsukuru Suzuki, Itsuki Kobata
  • Patent number: 7397075
    Abstract: A transistor of a pixel cell for use in a CMOS imager with a low threshold voltage of about 0.3 V to less than about 0.7 V is disclosed. The transistor is provided with high dosage source and drain regions around the gate electrode and with the halo implanted regions and/or the lightly doped LDD regions and/or the enhancement implanted regions omitted from at least one side of the gate electrode. The low threshold transistor is electrically connected to a high voltage transistor with a high threshold voltage of about 0.7 V.
    Type: Grant
    Filed: August 24, 2005
    Date of Patent: July 8, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Howard E. Rhodes
  • Patent number: 7397074
    Abstract: An exemplary array of thermally-assisted magnetic memory structures includes a plurality of magnetic memory elements, each magnetic memory element being near a diode. A diode near a selected magnetic memory element can be heated by absorbing energy from a radio frequency electromagnetic field. The heated diode can be used to elevate the temperature of the selected magnetic memory element to thermally assist in switching the magnetic state of the magnetic memory element upon application of a write current.
    Type: Grant
    Filed: January 12, 2005
    Date of Patent: July 8, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Janice H. Nickel
  • Patent number: 7390744
    Abstract: Polishing compositions and methods for removing conductive materials and barrier materials from a substrate surface are provided. Polishing compositions are provided for removing at least a barrier material from a substrate surface by a chemical mechanical polishing process or by an electrochemical mechanical polishing process. The polishing compositions used in barrier removal may further be used after a process for electrochemical mechanical planarization process of a conductive material. The polishing compositions and methods described herein improve the effective removal rate of materials from the substrate surface with a reduction in planarization type defects.
    Type: Grant
    Filed: May 16, 2005
    Date of Patent: June 24, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Renhe Jia, Feng Q. Liu, Stan D. Tsai, Liang-Yuh Chen
  • Patent number: 7384833
    Abstract: In one embodiment, a self-aligned contact (SAC) trench structure is formed through a dielectric layer to expose an active region of a MOS transistor. The SAC trench structure not only exposes the active region for electrical connection but also removes portions of a stress liner over the active region. This leaves the stress liner mostly on the sidewall and top of the gate of the MOS transistor. Removing portions of the stress liner over the active region substantially removes the lateral component of the strain imparted by the stress liner on the substrate, allowing for improved drive current without substantially degrading a complementary MOS transistor.
    Type: Grant
    Filed: February 7, 2006
    Date of Patent: June 10, 2008
    Assignee: Cypress Semiconductor Corporation
    Inventors: Igor Polishchuk, Krishnaswamy Ramkumar, Sagy Charel Levy
  • Patent number: 7384841
    Abstract: In a DRAM device and a method of manufacturing the same, a multiple tunnel junction (MTJ) structure is provided, which includes conductive patterns and nonconductive patterns alternately stacked on each other. The nonconductive patterns have a band gap larger than a band gap of the conductive patterns. A gate insulation layer and a gate electrode are formed on a sidewall of the MTJ structure. A word line is connected with the MTJ structure, and a bit line is connected with one of top and bottom surfaces of the MTJ structure. A capacitor is connected with one of top and bottom surfaces of the MTJ structure that is not connected with the bit line. Current leakage in the DRAM device is reduced and a unit cells may be vertically stacked on the substrate, so a smaller surface area of the substrate is required for the DRAM device.
    Type: Grant
    Filed: February 22, 2006
    Date of Patent: June 10, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hong-Sik Yoon, In-Seok Yeo, Seung-Jae Baik, Zong-Liang Huo, Shi-Eun Kim
  • Patent number: 7384834
    Abstract: A semiconductor device and a method of manufacturing such a semiconductor device having a field effect transistor with improved current driving performance (e.g., an increase of drain current) of the field effect transistor comprising the steps of ion implanting an element from the main surface to the inside of a silicon layer as a semiconductor substrate to a level shallower than the implantation depth of the impurities in the step of forming the semiconductor region before the step of ion implanting impurities from the main surface to the inside of the silicon layer as a semiconductor substrate to form the semiconductor region being aligned with the gate electrode.
    Type: Grant
    Filed: April 27, 2006
    Date of Patent: June 10, 2008
    Assignee: Renesas Technology Corp.
    Inventors: Katsuhiro Mitsuda, Mitsuharu Honda, Akira Iizuka
  • Patent number: 7368383
    Abstract: A method for treating a copper surface of a semiconductor device provides exposing the copper surface to a citric acid solution after the surface is formed using CMP (chemical mechanical polishing) or other methods. The citric acid treatment may take place during a cleaning operation that takes place in a wafer scrubber, or subsequent to such an operation. The citric acid treatment removes copper oxides that form on copper surfaces exposed to the environment and prevents hillock formation during subsequent high temperature operations. The copper surface is then annealed and the annealing followed by an NH3 plasma treatment which again removes any copper oxides that may be present. The NH3 plasma operation roughens exposed surfaces improving the adhesion of subsequently-formed films such as a dielectric film preferably formed in-situ with the NH3 plasma treatment. The subsequently-formed film is formed over an oxide-free, hillock-free copper surface.
    Type: Grant
    Filed: May 24, 2005
    Date of Patent: May 6, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Shih-Chi Lin, Francis Wang, Wen-Long Lee, Sez-An Wu
  • Patent number: 7365009
    Abstract: A process and structure for a metal interconnect includes providing a substrate with a first electric conductor, forming a first dielectric layer and a first patterned hard mask, using the first patterned hard mask to form a first opening and a second electric conductor, forming a second dielectric layer and a second patterned hard mask, using the second patterned hard mask as an etching mask and using a first patterned hard mask as an etch stop layer to form a second opening and a third electric conductor.
    Type: Grant
    Filed: January 4, 2006
    Date of Patent: April 29, 2008
    Assignee: United Microelectronics Corp.
    Inventors: Pei-Yu Chou, Chun-Jen Huang
  • Patent number: 7361603
    Abstract: A CMP composition containing 5-aminotetrazole, e.g., in combination with oxidizing agent, chelating agent, abrasive and solvent and a method of use. Such CMP composition may be diluted during the CMP polish to minimize the occurrence of dishing or other adverse planarization deficiencies in the polished copper, even in the presence of substantial levels of copper ions in the CMP composition and at the copper/CMP composition interface during CMP processing.
    Type: Grant
    Filed: April 28, 2005
    Date of Patent: April 22, 2008
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Jun Liu, Mackenzie King, Michael Darsillo, Karl E. Boggs, Jeffrey F. Roeder, Thomas H. Baum
  • Patent number: 7361539
    Abstract: A semiconductor device structure is provided which includes a first field effect transistor (“FET”) having a first channel region, a first source region, a first drain region and a first gate conductor overlying the first channel region. A second FET is included which has a second channel region, a second source region, a second drain region and a second gate conductor overlying the second channel region. The first and second gate conductors are portions of a single elongated conductive member extending over both the first and second channel regions. A first stressed film overlies the first FET, the first stressed film applying a stress having a first value to the first channel region. A second stressed film overlies the second FET, the second stressed film applying a stress having a second value to the second channel region. The second value is substantially different from the first value.
    Type: Grant
    Filed: May 16, 2006
    Date of Patent: April 22, 2008
    Assignee: International Business Machines Corporation
    Inventors: Xiangdong Chen, Haining S. Yang
  • Patent number: 7348231
    Abstract: Methods of fabricating semiconductor devices are provided. An NMOS transistor and a PMOS transistor are provided on a substrate. The NMOS transistor is positioned on an NMOS region of the substrate and the PMOS transistor is positioned on a PMOS region of the substrate. A first insulating layer is provided on the NMOS transistor. The first insulating layer has a first compressive stress. A second insulating layer is provided on the PMOS transistor. The second insulating layer has a second compressive stress and a stress relief ratio higher than a stress relief ratio of the first insulating layer. A thermal treatment process is performed on the first insulating layer and the second insulating layer such that the second compressive stress of the second insulating layer is lower than the first compressive stress of the first insulating layer. Related devices are also provided.
    Type: Grant
    Filed: December 30, 2005
    Date of Patent: March 25, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyung-Shin Kwon, Dong-Won Lee, Jun-Beom Park
  • Patent number: 7344987
    Abstract: The present invention relates to a method for performing chemical mechanical polishing. A high down-force step is performed. A low down-force step is performed. At least one of the down-force steps is modified, based on if one of the down-force steps exceeds an acceptable tolerance associated therewith. Other systems and methods are also disclosed.
    Type: Grant
    Filed: June 2, 2006
    Date of Patent: March 18, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Yaojian Leng, Nilesh Shantaram Doke, Stanley Monroe Smith
  • Patent number: 7344954
    Abstract: A substrate is provided having an oxide layer, a first nitride-silicon, a STI, and a second nitride-silicon. A pattern poly-silicon layer on the second nitride-silicon layer is etched to form a deep trench opening. Etching the pattern poly-silicon layer also deepens the deep trench opening. Then, a conductive layer is filled in the deep trench opening.
    Type: Grant
    Filed: January 3, 2006
    Date of Patent: March 18, 2008
    Assignee: United Microelectonics Corp.
    Inventors: Ta-Chuan Yeh, Ni-Min Chung, Kao-Su Huang, Yung-Chang Lin, Ruey-Chyr Lee, Chien-Kuo Wang
  • Patent number: 7341948
    Abstract: Disclosed is a method of making a semiconductor structure, wherein the method includes forming an interlayer dielectric (ILD) layer on a semiconductor layer, forming a conductive plating enhancement layer (PEL) on the ILD, patterning the ILD and PEL, depositing a seed layer into the pattern formed by the ILD and PEL, and then plating copper on the seed layer. The PEL serves to decrease the resistance across the wafer so to facilitate the plating of the copper. The PEL preferably is an optically transparent and conductive layer.
    Type: Grant
    Filed: January 17, 2006
    Date of Patent: March 11, 2008
    Assignee: International Business Machines Corporation
    Inventors: Shom Ponoth, Steven Shyng-Tsong Chen, John Anthony Fitzsimmons, Terry Allen Spooner
  • Patent number: 7341908
    Abstract: Provided are a semiconductor device including a reliable interconnect and a method of manufacturing the same. The semiconductor device includes a substrate, an inter-metal dielectric (IMD) pattern having an opening, an amorphous metallic nitride layer formed on the inner surface of the opening, a diffusion barrier layer formed on the amorphous metallic nitride layer, and a conductive layer filled into the opening having the diffusion barrier layer.
    Type: Grant
    Filed: January 30, 2006
    Date of Patent: March 11, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Bong-seok Suh, Seung-man Choi, Hong-jae Shin, Young-jin Wee
  • Patent number: 7339226
    Abstract: The present invention is a dual-level flash memory cell design that stores 3 or more bits of information per transistor. The dual-level memory cell stores two lower bits in a first level and stores an upper bit in a second level. The lower bits are programmed, erased and read by alternate modes of operation wherein active regions operate as source and drain, and then drain and source. The upper bit is programmed and erased independent of the lower bits. However, reading of the upper bit depends upon read values of the lower bits. Additional levels are employed to store more than 3 bits of information.
    Type: Grant
    Filed: June 16, 2005
    Date of Patent: March 4, 2008
    Assignee: Spansion LLC
    Inventors: James Pan, Ning Cheng, Christy Mein Chu Woo
  • Patent number: 7338905
    Abstract: An electric conductive film is formed on the insulating surface of a substrate, the substrate having a trench formed on the insulating surface, and the conductive film being filled in the trench. Chemical mechanical polishing is executed to expose the insulating surface of the substrate and leave a portion of the conductive film in the trench. The surface of the substrate having the exposed conductive film in the trench and the exposed insulating surface is exposed to first liquid. After being exposed to the first liquid, the surface of the substrate is exposed to second liquid. The first liquid is either solution which contains at least one first substance selected from a first group consisting of benzotriazole, derivative of benzotriazole and interfacial active agent, or water. The second solution is solution which contains the first substance at a density higher than a density of the first liquid.
    Type: Grant
    Filed: May 5, 2004
    Date of Patent: March 4, 2008
    Assignee: Fujitsu Limited
    Inventors: Tetsuya Shirasu, Toshiyuki Karasawa, Nobuhiro Misawa, Tamotsu Yamamoto, Kenji Nakano
  • Patent number: 7338882
    Abstract: A method of fabricating a nano silicon on insulator (SOI) wafer having an excellent thickness evenness without performing a chemical mechanical polishing (CMP) and a wafer fabricated by the same are provided. The provided method includes preparing a bond wafer and a base wafer, and forming a dielectric on at least on surface of the bond wafer. Thereafter, an impurity ion implantation unit is formed by implanting impurity ions into the bond wafer to a predetermined depth from the surface of the bond wafer at a low voltage. The dielectric of the bond wafer and the base wafer contact each other in order to be bonded. Next, a thermal process of low temperature is performed to cleave the impurity ion implantation unit of the bond wafer. In addition, the cleaved surface of the bond wafer bonded to the base wafer is etched to form a nano scale device region. Here, the cleaved surface may be etched by performing a hydrogen surface process and a wet etching.
    Type: Grant
    Filed: March 21, 2005
    Date of Patent: March 4, 2008
    Assignees: Siltron Inc.
    Inventors: Jea-Gun Park, Gon-Sub Lee, Sang-Hee Lee
  • Patent number: 7332425
    Abstract: The present invention provides a method of forming a interconnect barrier layer 100. In the method, physical vapor deposition of barrier material 200 is performed within an opening 140 located in a dielectric layer 135 of a substrate 110. RF plasma etching of the barrier material 200 that is deposited in the opening 140 occurs simultaneously with conducting the physical vapor deposition of the barrier material 200.
    Type: Grant
    Filed: May 11, 2005
    Date of Patent: February 19, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Asad M. Haider, Alfred J. Griffin, Jr., Kelly J. Taylor
  • Patent number: 7329606
    Abstract: A semiconductor device having small electrical contacts to impurity doped regions and a method for fabrication of such a device are provided. In accordance with one embodiment of the invention the semiconductor device comprises a semiconductor substrate having a doped region formed therein. The doped region has a nucleating layer comprising nickel on its surface, and a nanowire structure comprising silicon and carbon electrically contacts the nucleating layer.
    Type: Grant
    Filed: December 29, 2005
    Date of Patent: February 12, 2008
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Frank Wirbeleit
  • Patent number: 7327009
    Abstract: A method for forming a divot free nitride lined shallow trench isolation (STI) feature including providing a substrate including an STI trench extending through an uppermost hardmask layer into a thickness of the substrate exposing the substrate portions; selectively forming a first insulating layer lining the STI trench over said exposed substrate portions only; backfilling the STI trench with a second insulating layer; planarizing the second insulating layer; and, carrying out a wet etching process to remove the uppermost hardmask layer.
    Type: Grant
    Filed: January 5, 2007
    Date of Patent: February 5, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chien-Hao Chen, Vincent S. Chang, Ji-Yi Yang, Chia-Lin Chen, Tze-Liang Lee
  • Publication number: 20080026583
    Abstract: The disclosure pertains to compositions and methods for modifying or refining the surface of a wafer suited for semiconductor fabrication. The compositions include working liquids useful in modifying a surface of a wafer suited for fabrication of a semiconductor device. In some embodiments, the working liquids are aqueous solutions of initial components substantially free of loose abrasive particles, the components including water, a surfactant, and a pH buffer exhibiting at least one pKa greater than 7. In certain embodiments, the pH buffer includes a basic pH adjusting agent and an acidic complexing agent, and the working liquid exhibits a pH from about 7 to about 12. In further embodiments, the disclosure provides a fixed abrasive article comprising a surfactant suitable for modifying the surface of a wafer, and a method of making the fixed abrasive article. Additional embodiments describe methods that may be used to modify a wafer surface.
    Type: Application
    Filed: August 15, 2007
    Publication date: January 31, 2008
    Inventors: L. Hardy, Heather Kranz, Thomas Wood, David Kaisaki, John Gagliardi, John Clark, Patricia Savu, Philip Clark
  • Patent number: 7314823
    Abstract: A composition for chemical mechanical polishing includes a slurry. A sufficient amount of a selectively oxidizing and reducing compound is provided in the composition to produce a differential removal of a metal and a dielectric material. A pH adjusting compound adjusts the pH of the composition to provide a pH that makes the selectively oxidizing and reducing compound provide the differential removal of the metal and the dielectric material. A composition for chemical mechanical polishing is improved by including an effective amount for chemical mechanical polishing of a hydroxylamine compound, ammonium persulfate, a compound which is an indirect source of hydrogen peroxide, a peracetic acid or periodic acid. A method for chemical mechanical polishing comprises applying a slurry to a metal and dielectric material surface to produce mechanical removal of the metal and the dielectric material.
    Type: Grant
    Filed: August 2, 2005
    Date of Patent: January 1, 2008
    Assignee: DuPont Airproducts NanoMaterials LLC
    Inventors: Robert J. Small, Laurence McGhee, David J. Maloney, Maria L. Peterson
  • Patent number: 7312151
    Abstract: The present invention provides a system for removing organic contaminants (216) from a copper seed layer that has been deposited on a semiconductor substrate (206). The present invention provides a housing (204) to enclose the semiconductor substrate within. An ultraviolet radiation source (210) is disposed within the housing. A treatment medium (208) is also provided within the housing. The semiconductor substrate is enclosed within the housing and exposed to the treatment medium. The ultraviolet radiation source exposes the semiconductor substrate to ultraviolet radiation, desorbing the contaminants from the seed layer.
    Type: Grant
    Filed: January 17, 2006
    Date of Patent: December 25, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: Aaron Frank, David Gonzalez, John DeGenova, Srinavas Raghavan, Deepak A. Ramappa
  • Publication number: 20070284696
    Abstract: In an independent GaN film manufactured by creating a GaN layer on a base heterosubstrate using vapor-phase deposition and then removing the base substrate, owing to layer-base discrepancy in thermal expansion coefficient and lattice constant, warp will be a large ±40 ?m to ±100 ?m. Since with that warp device fabrication by photolithography is challenging, reducing the warp to +30 ?m to ?20 ?m is the goal. The surface deflected concavely is ground to impart to it a damaged layer that has a stretching effect, making the surface become convex. The damaged layer on the surface having become convex is removed by etching, which curtails the warp. Alternatively, the convex surface on the side opposite the surface having become convex is ground to generate a damaged layer. With the concave surface having become convex due to the damaged layer, suitably etching off the damaged layer curtails the warp.
    Type: Application
    Filed: May 7, 2007
    Publication date: December 13, 2007
    Applicant: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventor: Naoki Matsumoto
  • Patent number: 7306955
    Abstract: A method of performing a double-sided process is provided. First, a wafer having a structural pattern disposed on the front surface is provided. Following that, a plurality of front scribe lines are defined on the structural pattern, and a filling layer is filled into the front scribe lines. Subsequently, the structural pattern is bonded to a carrier wafer with a bonding layer, and a plurality of back scribe lines are defined on the back surface of the wafer. Finally, the filling layer filled in the front scribe lines is removed.
    Type: Grant
    Filed: March 23, 2006
    Date of Patent: December 11, 2007
    Assignee: Touch Micro-System Technology Inc.
    Inventor: Chen-Hsiung Yang
  • Patent number: 7307021
    Abstract: A layer of required material, such as polysilicon, is planarized by first forming a sacrificial layer of material, such as an oxide, on the layer of required material. The combined layers of required and sacrificial materials are then planarized using chemical-mechanical polishing until the sacrificial material has been substantially, completely removed.
    Type: Grant
    Filed: October 2, 2000
    Date of Patent: December 11, 2007
    Assignee: National Semiconductor Corporation
    Inventor: David W. Carlson
  • Patent number: 7303971
    Abstract: A metal/semiconductor/metal (MSM) binary switch memory device and fabrication process are provided. The device includes a memory resistor bottom electrode, a memory resistor material over the memory resistor bottom electrode, and a memory resistor top electrode over the memory resistor material. An MSM bottom electrode overlies the memory resistor top electrode, a semiconductor layer overlies the MSM bottom electrode, and an MSM top electrode overlies the semiconductor layer. The MSM bottom electrode can be a material such as Pt, Ir, Au, Ag, TiN, or Ti. The MSM top electrode can be a material such as Pt, Ir, Au, TiN, Ti, or Al. The semiconductor layer can be amorphous Si, ZnO2, or InO2.
    Type: Grant
    Filed: July 18, 2005
    Date of Patent: December 4, 2007
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Sheng Teng Hsu, Tingkai Li
  • Publication number: 20070269987
    Abstract: An abrasive liquid for CMP process characterized by comprising an abrasive material, an aqueous solvent and an addition agent, and containing abrasive particles having a particle diameter of 20 to 80 nm by 15 weight % or more on the basis of the weight of the abrasive liquid; and a method of polishing by using the abrasive liquid are appropriate for the processing of flattening the surface of a device wafer on which at least a silicon oxide film is formed, and take effect of being capable of stably performing superior abrasive properties such as flattening properties, low flaw properties and high washing properties, and then are the most appropriate for the processing of flattening the surface of a semiconductor device comprising a layer insulation film or an element separation film, a magnetic head and a substrate for a liquid crystal display in the semiconductor industry.
    Type: Application
    Filed: May 7, 2004
    Publication date: November 22, 2007
    Applicants: SANYO CHEMICAL INDUSTRIES, LTD., ADVANCED TECHNOLOGY MATERIALS, INC.
    Inventors: Tomoharu Nakano, Fumihiro Nakajima, Tadakazu Miyazaki, Duncan Brown, Matthew Healy
  • Patent number: 7297558
    Abstract: A W plug (24) is formed and a W oxidation preventing barrier metal film (25) is formed thereon. After that, an SiON film (27) thinner than the W oxidation preventing barrier metal film (25) is formed and Ar sputter etching is performed on the SiON film (27). As a result, the shape of the surface of the SiON film (27) becomes gentler and deep trenches disappear. Next, an SiON film (28) is formed on the whole surface. A voidless W oxidation preventing insulating film (29) is composed of the SiON (28) film and the SiON film (27).
    Type: Grant
    Filed: April 15, 2005
    Date of Patent: November 20, 2007
    Assignee: Fujitsu Limited
    Inventors: Yasutaka Ozaki, Tatsuya Yokota, Nobutaka Ohyagi
  • Patent number: 7288458
    Abstract: A wafer having an SOI configuration and active regions having different surface orientations for different channel type transistors. In one example, semiconductor structures having a first surface orientation are formed on a donor wafer. Semiconductor structures having a second surface orientation are formed on a second wafer. Receptor openings are formed on the second wafer. The semiconductor structures having the first surface orientation are located in the receptor openings and transferred to the second wafer. The resultant wafer has semiconductor regions having a first surface orientation for a first channel type of transistor and semiconductor regions having a second surface orientation for a second channel type transistor.
    Type: Grant
    Filed: December 14, 2005
    Date of Patent: October 30, 2007
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Olubunmi O. Adetutu, Robert E. Jones, Ted R. White
  • Patent number: 7285494
    Abstract: A multiple stage method of electrolessly depositing a metal layer is presented. This method may have the two main stages of first forming a thin metal layer on a metal surface using an electroless plating solution containing activating agents that are highly reactive reducing agents, and second, forming a bulk metal layer over the thin metal layer by using an electroless plating solution containing mildly reactive reducing agents. Through this two stage method, the use of highly reactive reducing agents that may cause the formation of contaminant particles may be minimized. By minimizing the formation of contaminant particles in the electroless plating solution, the lifetime of the solution may be extended and the current leakage between metal interconnect lines may be reduced.
    Type: Grant
    Filed: July 29, 2005
    Date of Patent: October 23, 2007
    Assignee: Intel Corporation
    Inventors: Chin-Chang Cheng, Valery M. Dubin
  • Patent number: 7276438
    Abstract: A method of manufacturing a wiring substrate of the present invention, includes a step of preparing a substrate containing a semi-cured resin layer or a thermo plastic resin layer, a step of forming a through hole that passes through the substrate, a step of inserting a conductive parts in the through hole, a step of curing the semi-resin layer or the thermo plastic resin layer in a state that the resin layer is made to flow by applying a thermal press to the substrate and filling a clearance between the through hole and the conductive parts with the resin layer, and a step of forming a wiring pattern, which is connected mutually via the conductive parts, on both surface sides of the substrate.
    Type: Grant
    Filed: May 24, 2005
    Date of Patent: October 2, 2007
    Assignee: Shinko Electric Industries Co., Ltd.
    Inventors: Yasuyoshi Horikawa, Keiichi Takemoto
  • Patent number: 7271088
    Abstract: Disclosed herein are a CMP slurry composition with high-planarity and a CMP process for polishing a dielectric film using the same. More specifically, a CMP slurry composition with high-planarity includes a carbon compound having tens of thousands of carboxyl groups and having a molecular weight ranging from hundreds of thousands to millions, an abrasive, and water. A CMP process for polishing a dielectric film utilizes the disclosed slurry composition. The slurry composition enables complete and overall planarization of the dielectric film by polishing the part of the film having a higher step difference through CMP process. Accordingly, the disclosed slurry composition is useful for the CMP process of all semiconductor devices including those having ultrafine patterns.
    Type: Grant
    Filed: November 30, 2004
    Date of Patent: September 18, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventors: Jong Goo Jung, Sang Ick Lee, Hyung Soon Park
  • Publication number: 20070212881
    Abstract: The invention is directed to a chemical mechanical polishing process. The chemical mechanical polishing process comprises steps of providing a wafer disposed at a wafer handling region of a chemical mechanical polishing apparatus and then moving the wafer into a buffer region of the chemical mechanical polishing apparatus. A first detecting process is performed for obtaining a pre-polishing condition of the wafer by using a detector in the buffer region and the wafer is moved into a chemical mechanical polishing region and performing a chemical mechanical process. A second detecting process is performed, in the buffer region, for obtaining a post-polishing condition of the wafer by using the detector of the buffer region.
    Type: Application
    Filed: March 10, 2006
    Publication date: September 13, 2007
    Inventor: Hui-Shen Shih
  • Patent number: 7262504
    Abstract: A multiple stage method of electrolessly depositing a metal layer is presented. This method may have the two main stages of first forming a thin metal layer on a metal surface using an electroless plating solution containing activating agents that are highly reactive reducing agents, and second, forming a bulk metal layer over the thin metal layer by using an electroless plating solution containing mildly reactive reducing agents. Through this two stage method, the use of highly reactive reducing agents that may cause the formation of contaminant particles may be minimized. By minimizing the formation of contaminant particles in the electroless plating solution, the lifetime of the solution may be extended and the current leakage between metal interconnect lines may be reduced.
    Type: Grant
    Filed: July 29, 2005
    Date of Patent: August 28, 2007
    Assignee: Intel Corporation
    Inventors: Chin-Chang Cheng, Valery M. Dubin
  • Patent number: 7259076
    Abstract: A method for fabricating a high-density silicon-on-insulator (SOI) cross-point memory array and an array structure are provided. The method includes the following steps: selectively forming a hard mask on an SOI substrate, defining memory areas, active device areas, and top electrode areas; etching to remove the exposed silicon (Si) surfaces; selectively forming metal sidewalls adjacent the hard mask; filling the memory areas with memory resistor material; removing the hard mask, exposing the underlying Si active device areas; forming an overlying layer of oxide; etching the oxide to form contact holes to the active device areas; forming diodes in the contact holes; and, forming bottom electrode lines overlying the diodes.
    Type: Grant
    Filed: August 31, 2005
    Date of Patent: August 21, 2007
    Assignee: Sharp Laboratories of America, Inc.
    Inventor: Sheng Teng Hsu