Post Treatment (epo) Patents (Class 257/E21.3)
  • Patent number: 10840105
    Abstract: A semiconductor structure and a method for forming the same are provided. The method for manufacturing a semiconductor structure includes forming a gate structure over a substrate and forming a spacer on a sidewall of the gate structure. The method for manufacturing a semiconductor structure further includes forming a hard mask structure on a top surface of the gate structure and on an upper portion of the spacer but not on a bottom portion of the spacer.
    Type: Grant
    Filed: June 15, 2015
    Date of Patent: November 17, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Yu-Hung Lin, Hon-Lin Huang, Rueijer Lin, Shih-Chi Lin, Sheng-Hsuan Lin
  • Patent number: 10510597
    Abstract: Methods for forming an integrated device using CMOS processing with wafer bonding. In an embodiment, a method is disclosed that includes defining an integrated circuit function using a front-end substrate having one or more active devices and a back-end substrate having connections formed in metal layers in dielectric material, wherein the back-end substrate is free from active devices; manufacturing the front-end substrate in a first semiconductor process; more or less simultaneously, manufacturing the back-end substrate in a second semiconductor process; physically contacting bonding surfaces of the front-end substrate and the back-end substrate; and performing wafer bonding to form bonds between the front-end and back-end substrates to form an integrated circuit. Additional methods are disclosed.
    Type: Grant
    Filed: August 7, 2017
    Date of Patent: December 17, 2019
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Pin-Nan Tseng, Chia-Shiung Tsai, Ping-Yin Liu
  • Patent number: 10392698
    Abstract: A metal-containing film can be formed with high continuity with respect to a base when forming the metal-containing film on the base by CVD or ALD. A film forming method of forming, by ALD or CVD, a Ti-containing film on a base film of a processing target object having a SiO2 film as the base film includes performing a surface processing of accelerating formation of a silanol group on a surface of the SiO2 film by bringing a fluid containing O and H into contact with the surface of the SiO2 film; and performing a film forming processing of forming the Ti-containing film on the SiO2 film, on which the surface processing is performed, by the ALD or the CVD with a Ti source gas which reacts with the silanol group.
    Type: Grant
    Filed: August 3, 2017
    Date of Patent: August 27, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Miyako Kaneko, Naotaka Noro, Tsuyoshi Takahashi, Kazuyoshi Yamazaki
  • Patent number: 10014185
    Abstract: Processing methods comprising oxidizing a metal nitride film to form a metal oxynitride layer and etching the metal oxynitride layer with a metal halide etchant. The metal halide etchant can be, for example, WCl5, WOCl4 or TaCl5. Methods of filling a trench with a seam-free gapfill are also described. A metal nitride film is deposited in the trench to form a seam and pinch-off an opening of the trench. The pinched-off opening is subjected to a directional oxidizing plasma and a metal halide etchant to open the pinched-off top and allow access to the seam.
    Type: Grant
    Filed: March 1, 2017
    Date of Patent: July 3, 2018
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Liqi Wu, Wenyu Zhang, Shih Chung Chen, Wei V. Tang, Leung Kway Lee, Xinming Zhang, Paul F. Ma
  • Patent number: 9673132
    Abstract: An interconnection structure and method disclosed for providing an interconnection structure that includes conductive features having reduced topographic variations. The interconnection structure includes a contact pad disposed over a substrate. The contact pad includes a first layer of a first conductive material and a second layer of a second conductive material over the first layer. The first conductive material and the second conductive material are made of substantially the same material and have a first average grain size and a second average grain size that is smaller than the first average grain size. The interconnection structure also includes a passivation layer covering the substrate and the contact pad, and the passivation layer has an opening exposing the contact pad.
    Type: Grant
    Filed: October 9, 2014
    Date of Patent: June 6, 2017
    Assignee: Taiwan Semiconductor Manufacting Company, Ltd.
    Inventors: Hsiao Yun Lo, Yung-Chi Lin, Yang-Chih Hsueh, Tsang-Jiuh Wu, Wen-Chih Chiou
  • Patent number: 9548333
    Abstract: Systems and methods of integration of resistive memory elements with logic elements in advanced nodes with improved mechanical stability and reduced parasitic capacitance include a resistive memory element and a logic element formed in a common integration layer extending between a bottom cap layer and a top cap layer. At least a first intermetal dielectric (IMD) layer of high-K value is formed in the common integration layer and surrounding at least the resistive memory element, to provide high rigidity and mechanical stability. A second IMD layer of low-K value to reduce parasitic capacitance of the logic element is formed in either the common integration layer, a top layer above the top cap layer or an intermediate layer in between the top and bottom cap layers. Air gaps may be formed in one or more IMD layers to further reduce capacitance.
    Type: Grant
    Filed: September 25, 2014
    Date of Patent: January 17, 2017
    Assignee: QUALCOMM Incorporated
    Inventors: Yu Lu, Xia Li, Seung Hyuk Kang
  • Patent number: 8772160
    Abstract: An object of the present invention is to provide an apparatus for successive deposition used for manufacturing a semiconductor element including an oxide semiconductor in which impurities are not included. By using the deposition apparatus capable of successive deposition of the present invention that keeps its inside in high vacuum state, and thus allows films to be deposited without being exposed to the air, the entry of impurities such as hydrogen into the oxide semiconductor layer and the layer being in contact with the oxide semiconductor layer can be prevented; as a result, a semiconductor element including a high-purity oxide semiconductor layer in which hydrogen concentration is sufficiently reduced can be manufactured. In such a semiconductor element, off-state current is low, and a semiconductor device with low power consumption can be realized.
    Type: Grant
    Filed: February 17, 2011
    Date of Patent: July 8, 2014
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Natsuko Takase
  • Patent number: 8729626
    Abstract: A semiconductor device may include, but is not limited to: a semiconductor structure extending upwardly; a first insulating film covering at least a side surface of the semiconductor structure; a gate electrode extending upwardly, the gate electrode being adjacent to the first insulating film; and an insulating structure extending upwardly, the insulating structure being adjacent to the gate electrode.
    Type: Grant
    Filed: November 8, 2010
    Date of Patent: May 20, 2014
    Inventors: Yu Kosuge, Yasuhiko Ueda
  • Publication number: 20140027910
    Abstract: A method for forming an interconnect structure includes forming a recess in a dielectric layer of a substrate, forming a first transition metal layer in the recess on corner portions of the recess, and forming a second transition metal layer in the recess over the first transition metal layer to line the recess. The method further includes filling the recess with a fill layer and annealing the substrate so that the first transition metal layer and the second transition metal layer form an alloy portion proximate the corner portions during the annealing, the alloy portion having a reduced wettability for a material of the fill layer than the second transition metal. Additionally, the method includes polishing the substrate to remove portions of the fill layer extending above the recess.
    Type: Application
    Filed: July 30, 2012
    Publication date: January 30, 2014
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Xunyuan Zhang, Hoon Kim, Vivian W. Ryan
  • Patent number: 8575012
    Abstract: A semiconductor device production method includes: forming an insulating film on a semiconductor substrate, forming a concave portion in the insulating film, forming a gate insulating film at bottom of the concave portion, the bottom being on the semiconductor substrate; covering an inner wall surface of the concave portion and a top face of the insulating film with a first gate electrode film that is made of an electrically conductive material containing a first metal; covering the first gate electrode film with a covering film of a material having a second melting point higher than a first melting point of the electrically conductive material, leaving part of the side face of the concave portion uncovered; and performing heat treatment following the covering film formation to allow the first gate electrode film to reflow.
    Type: Grant
    Filed: April 28, 2011
    Date of Patent: November 5, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Masaki Haneda
  • Patent number: 8445364
    Abstract: A method for treating semiconducting materials includes providing a semiconducting material having a crystalline structure, pre-heating a portion of the semiconducting material to a temperature less than the melting temperature of the semiconducting material, and then cooling the semiconducting material prior to exposing at least the portion of the semiconducting material to a heat source to create a melt pool, and cooling the semiconducting material.
    Type: Grant
    Filed: June 2, 2008
    Date of Patent: May 21, 2013
    Assignee: Corning Incorporated
    Inventors: Prantik Mazumder, Kamal Kishore Soni, Christopher Scott Thomas, Natesan Venkataraman, Glen Bennett Cook
  • Patent number: 8420529
    Abstract: A copper wiring material surface protective liquid for production of a semiconductor device is provided, containing an oxyalkylene adduct of an acetylenediol containing an acetylenediol having an oxyalkylene having 2 or 3 carbon atoms added thereto. A method for producing a semiconductor circuit device is provided, containing: forming an insulating film and/or a diffusion preventing film on a silicon substrate; then forming a copper film by a sputtering method; then forming a copper wiring containing 80% by mass or more of copper thereon by a plating method; and flattening the wiring by a chemical mechanical polishing (CMP) method, thereby providing a semiconductor substrate containing a copper wiring, the semiconductor substrate having an exposed surface of a copper wiring material being treated by making in contact with the copper wiring material surface protective liquid.
    Type: Grant
    Filed: September 2, 2009
    Date of Patent: April 16, 2013
    Assignee: Mitsubishi Gas Chemical Company, Inc.
    Inventors: Kenji Yamada, Kenji Shimada, Hiroshi Matsunaga
  • Patent number: 8354344
    Abstract: The present invention is related to the field of semiconductor processing and, more particularly, to the formation of low resistance layers on germanium substrates. One aspect of the present invention is a method comprising: providing a substrate on which at least one area of a germanium layer is exposed; depositing over the substrate and said germanium area a metal, e.g., Co or Ni; forming over said metal, a capping layer consisting of a silicon oxide containing layer, of a silicon nitride layer, or of a tungsten layer, preferably of a SiO2 layer; then annealing for metal-germanide formation; then removing selectively said capping layer and any unreacted metal, wherein the temperature used for forming said capping layer formation is lower than the annealing temperature.
    Type: Grant
    Filed: August 29, 2008
    Date of Patent: January 15, 2013
    Assignee: IMEC
    Inventors: David Brunco, Marc Meuris
  • Publication number: 20120139085
    Abstract: A semiconductor structure is provided that includes a semiconductor oxide layer having features. The semiconductor oxide layer having the features is located between an active semiconductor layer and a handle substrate. The semiconductor structure includes a planarized top surface of the active semiconductor layer such that the semiconductor oxide layer is beneath the planarized top surface. The features within the semiconductor oxide layer are mated with a surface of the active semiconductor layer.
    Type: Application
    Filed: December 2, 2010
    Publication date: June 7, 2012
    Applicant: International Business Machines Corporation
    Inventors: Ravi M. Todi, Joseph Ervin, Chengwen Pei, Geng Wang
  • Publication number: 20120032281
    Abstract: A semiconductor device production method includes: forming an insulating film on a semiconductor substrate, forming a concave portion in the insulating film, forming a gate insulating film at bottom of the concave portion, the bottom being on the semiconductor substrate; covering an inner wall surface of the concave portion and a top face of the insulating film with a first gate electrode film that is made of an electrically conductive material containing a first metal; covering the first gate electrode film with a covering film of a material having a second melting point higher than a first melting point of the electrically conductive material, leaving part of the side face of the concave portion uncovered; and performing heat treatment following the covering film formation to allow the first gate electrode film to reflow.
    Type: Application
    Filed: April 28, 2011
    Publication date: February 9, 2012
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventor: Masaki Haneda
  • Publication number: 20110254138
    Abstract: An improved low-temperature absorber, amorphous carbonitride (ACN) with an extinction coefficient (k) of greater than 0.15, and an emissivity of greater than 0.8 is disclosed. The ACN film can also be characterized as having a minimum of hydrocarbon content as observed by FTIR. The ACN film can be used as an effective absorbing layer that absorbs a wide range of electromagnetic radiation from different sources including lasers or flash lamps. A method of forming such an ACN film at a deposition temperature of less than, or equal to, 450° C. is also provided.
    Type: Application
    Filed: April 15, 2010
    Publication date: October 20, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Katherina E. Babich, Pratik P. Joshi, Kam Leung Lee, Deborah A. Neumayer, Spyridon Skordas
  • Patent number: 8034725
    Abstract: This invention provides a high throughput PECVD process for depositing TEOS films in a multi-station sequential deposition chamber. The methods significantly reduce the number of particles in the TEOS films, thereby eliminating or minimizing small bin defects. The methods of the invention involve dedicating a first station for temperature soak while flowing purge gas. Stopping the flow of reactant gas and flowing the purge gas for station 1 eliminates TEOS condensation on a cold wafer surface and significantly reduces the number of defects in the film, particularly for short temperature soaks.
    Type: Grant
    Filed: March 12, 2010
    Date of Patent: October 11, 2011
    Assignee: Novellus Systems, Inc.
    Inventors: Jon Henri, Xingyuan Tang, Jason Tian, Kevin Gerber, Arul N. Dhas
  • Patent number: 8017516
    Abstract: A system and method for forming a planar dielectric layer includes identifying a non-planarity in the dielectric layer, forming one or more additional dielectric layers over the dielectric layer and planarizing at least one of the additional dielectric layers wherein the one or more additional dielectric layers include at least one of a spin-on-glass layer and at least one of a low-k dielectric material layer and wherein each one of the one or more additional dielectric layers having a thickness of less than about 1000 angstroms and wherein the one or more additional dielectric layers has a total thickness of between about 1000 and about 4000 angstroms.
    Type: Grant
    Filed: April 3, 2007
    Date of Patent: September 13, 2011
    Assignee: Lam Research Corporation
    Inventors: Andrew D. Bailey, III, Shrikant P. Lohokare
  • Publication number: 20110175176
    Abstract: A method for forming a semiconductor structure is disclosed. The method includes forming a high-k dielectric layer over a semiconductor substrate and forming a gate layer over the high-k dielectric layer. The method also includes heating the gate layer to 350° C., wherein, if the gate layer includes non-conductive material, the non-conductive material becomes conductive. The method further includes annealing the substrate, the high-k dielectric layer, and the gate layer in excess of 350° C. and, during the annealing, applying a negative electrical bias to the gate layer relative to the semiconductor substrate. A semiconductor structure is also disclosed. The semiconductor structure includes a high-k dielectric layer over a semiconductor substrate, and a gate layer over the high-k dielectric layer. The gate layer has a negative electrical bias during anneal. A p-channel FET including this semiconductor structure is also disclosed.
    Type: Application
    Filed: January 20, 2010
    Publication date: July 21, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: Martin M. Frank
  • Publication number: 20110089559
    Abstract: A method of producing a semiconductor device is provided, the semiconductor device including a substrate, a semiconductor layer and at least one metallization layer adjacent to at least one element chosen from the substrate and the semiconductor layer, the method including forming at least one metallization layer which, adjacent to at least one element chosen from the substrate and the semiconductor layer, includes oxygen.
    Type: Application
    Filed: October 21, 2009
    Publication date: April 21, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Evelyn SCHEER, Fabio PIERALISI, Marcus BENDER
  • Patent number: 7928506
    Abstract: The semiconductor device comprises a word line and a bit line. The word line comprises a gate electrode and a first metal interconnect. The first metal interconnect has contact with the gate electrode and extends into a region upper than a first impurity-diffused region in a first direction. The bit line comprises a connecting part and a second metal interconnect. The connecting part is formed so as to have contact with at least part of the side surface of the first impurity-diffused region. The second metal interconnect has contact with the connecting part and extends into a region lower than the semiconductor region in a second direction orthogonal to the first direction.
    Type: Grant
    Filed: January 27, 2009
    Date of Patent: April 19, 2011
    Assignee: Elpida Memory, Inc.
    Inventor: Hiroyuki Fujimoto
  • Publication number: 20110062443
    Abstract: Embodiments of a method for fabricating a semiconductor device are provided. In one embodiment, the method includes the step of producing a partially-completed semiconductor device including a substrate, source/drain (S/D) regions, a channel region between the S/D regions, a gate stack over the channel region, and sidewall spacers laterally adjacent the gate stack. The method further includes the steps of amorphizing the S/D regions, depositing a silicide-forming material over the amorphized S/D regions, and heating the partially-completed semiconductor device to a predetermined temperature at which the silicide-forming material reacts with the amorphized S/D regions.
    Type: Application
    Filed: September 16, 2009
    Publication date: March 17, 2011
    Applicant: GLOBALFOUNDRIES INC.
    Inventor: Witold MASZARA
  • Patent number: 7851358
    Abstract: A method of fabricating a copper interconnect on a substrate is disclosed in which the interconnect and substrate are subjected to a low temperature anneal subsequent to polarization of the interconnect and prior to deposition of an overlying dielectric layer. The low temperature anneal inhibits the formation of hillocks in the copper material during subsequent high temperature deposition of the dielectric layer. Hillocks can protrude through passivation layer, thus causing shorts within the connections of the semiconductor devices formed on the substrate. In one example, the interconnect and substrate are annealed at a temperature of about 200° C. for a period of about 180 seconds in a forming gas environment comprising hydrogen (5 parts per hundred) and nitrogen (95 parts per hundred).
    Type: Grant
    Filed: May 5, 2005
    Date of Patent: December 14, 2010
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Jun Wu, Wen-Long Lee, Chyi-Tsong Ni, Shih-Chi Lin
  • Publication number: 20100267233
    Abstract: A metal member layer on a silicon member layer is patterned. A sidewall film is formed on a surface of the metal member layer. The silicon member layer is patterned to form a structure including the silicon member layer and the metal member layer, the surface of which is covered with the sidewall film. After the surface of the structure is cleaned, a water-repellent protective film is formed on the surface of the structure before the surface of the structure is dried.
    Type: Application
    Filed: March 2, 2010
    Publication date: October 21, 2010
    Inventors: Tatsuhiko Koide, Hisashi Okuchi, Hidekazu Hayashi, Hiroshi Tomita
  • Patent number: 7800154
    Abstract: A nonvolatile semiconductor memory device includes a first well of a first conductivity type, which is formed in a semiconductor substrate of the first conductivity type, a plurality of memory cell transistors that are formed in the first well, a second well of a second conductivity type, which includes a first part that surrounds a side region of the first well and a second part that surrounds a lower region of the first well, and electrically isolates the first well from the semiconductor substrate, and a third well of the second conductivity type, which is formed in the semiconductor substrate. The third well has a less depth than the second part of the second well.
    Type: Grant
    Filed: January 10, 2005
    Date of Patent: September 21, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Mitsuhiro Noguchi, Minori Kajimoto
  • Publication number: 20100133614
    Abstract: In a multiple gate transistor, the plurality of Fins of the drain or source of the transistor are electrically connected to each other by means of a common contact element, wherein enhanced uniformity of the corresponding contact regions may be accomplished by an enhanced silicidation process sequence. For this purpose, the Fins may be embedded into a dielectric material in which an appropriate contact opening may be formed to expose end faces of the Fins, which may then act as silicidation surface areas.
    Type: Application
    Filed: November 17, 2009
    Publication date: June 3, 2010
    Inventors: Sven Beyer, Patrick Press, Rainer Giedigkeit, Jan Hoentschel
  • Publication number: 20100120245
    Abstract: Method and apparatus are provided for treatment of a deposited material layer. In one embodiment, a method is provided for processing a substrate including depositing a metal-containing layer using an atomic layer deposition technique, exposing the metal-containing layer to a plasma treatment process at a temperature of less than about 200° C., and exposing the metal-containing layer to a thermal anneal process at a temperature of about 600° C. or greater. The plasma treatment process and/or the thermal anneal process may use a nitrating gas, which may form a passivating surface or layer with the metal-containing layer.
    Type: Application
    Filed: November 7, 2008
    Publication date: May 13, 2010
    Inventors: Agus Sofian Tjandra, Yoshitaka Yokota, Christopher S. Olsen
  • Patent number: 7713874
    Abstract: Methods for performing periodic plasma annealing during atomic layer deposition are provided along with structures produced by such methods. The methods include contacting a substrate with a vapor-phase pulse of a metal source chemical and one or more plasma-excited reducing species for a period of time. Periodically, the substrate is contacted with a vapor phase pulse of one or more plasma-excited reducing species for a longer period of time. The steps are repeated until a metal thin film of a desired thickness is formed over the substrate.
    Type: Grant
    Filed: May 2, 2007
    Date of Patent: May 11, 2010
    Assignee: ASM America, Inc.
    Inventor: Robert B. Milligan
  • Publication number: 20100099268
    Abstract: A method that is performed for heat treating a semiconductor wafer in a process chamber, as an intermediate part of an overall multi-step technique for processing the wafer, includes applying an energy transfer layer to at least a portion of the wafer, and exposing the wafer to an energy source in the process chamber in a way which subjects the wafer to a thermal profile such that the energy transfer layer influences at least one part of the thermal profile. The thermal profile has at least a first elevated temperature event. The method further includes, in time relation to the thermal profile, removing the energy transfer layer in the process chamber at least sufficiently for subjecting the wafer to a subsequent step. An associated intermediate condition of the wafer is described.
    Type: Application
    Filed: December 5, 2009
    Publication date: April 22, 2010
    Inventor: Paul J. Timans
  • Publication number: 20100052128
    Abstract: An integrated circuit including an intrusion attack detection device. The device includes a single-piece formed of a conductive material and surrounded with an insulating material and includes at least one stretched or compressed elongated conductive track, connected to a mobile element, at least one conductive portion distant from said piece and a circuit for detecting an electric connection between the piece and the conductive portion. A variation in the length of said track in an attack by removal of the insulating material, causes a displacement of the mobile element until it contacts the conductive portion.
    Type: Application
    Filed: August 7, 2009
    Publication date: March 4, 2010
    Applicant: STMicroelectronics (Rousset) SAS
    Inventors: Pascal Fornara, Christian Rivero
  • Patent number: 7662730
    Abstract: A method for fabricating an ultra-high tensile-stressed nitride film is disclosed. A PECVD process is first performed to deposit a transitional silicon nitride film over a substrate. The transitional silicon nitride film has a first concentration of hydrogen atoms. The transitional silicon nitride film is subjected to UV curing process for reducing the first concentration of hydrogen atoms to a second concentration of hydrogen atoms.
    Type: Grant
    Filed: November 24, 2005
    Date of Patent: February 16, 2010
    Assignee: United Microelectronics Corp.
    Inventors: Neng-Kuo Chen, Teng-Chun Tsai, Chien-Chung Huang, Tsai-Fu Chen, Wen-Han Hung
  • Publication number: 20090311857
    Abstract: Multiple sequential processes are conducted in a reaction chamber to form ultra high quality silicon-containing compound layers, including silicon nitride layers. In a preferred embodiment, a silicon layer is deposited on a substrate using trisilane as the silicon precursor. A silicon nitride layer is then formed by nitriding the silicon layer. By repeating these steps, a silicon nitride layer of a desired thickness is formed.
    Type: Application
    Filed: August 24, 2009
    Publication date: December 17, 2009
    Applicant: ASM America, Inc.
    Inventors: Michael A. Todd, Keith D. Weeks, Christiaan J. Werkhoven, Christophe F. Pomarede
  • Publication number: 20090294964
    Abstract: A method for producing an electrically-conductive inorganic coating includes depositing, on a substrate, a coating-precursor containing a plurality of inorganic particles and at least one kind of organic component by a liquid-phase method by using a material-liquid containing the inorganic particles and an organic solvent. The inorganic particles are coated with a dispersant binding to the surfaces of the inorganic particles by chemical bonds that can be broken by oxidation. Further, the method includes oxidizing the coating-precursor at a temperature exceeding 100° C., and that is less than or equal to the pyrolysis initiation temperature of an organic component that has the highest pyrolysis initiation temperature among the at least one kind of organic component and less than or equal to the heat-resistance temperature of the substrate, thereby breaking the chemical bonds to eliminate the dispersant from the surfaces, and decomposing the at least one kind of organic component.
    Type: Application
    Filed: May 28, 2009
    Publication date: December 3, 2009
    Applicant: FUJIFILM Corporation
    Inventors: Kohei Higashi, Atsushi Tanaka
  • Patent number: 7602006
    Abstract: A flash memory device includes a floating gate made of a multi-layered structure. The floating gate includes a hetero-pn junction which serves as a quantum well to store charge in the floating gate, thus increasing the efficiency of the device, allowing the device to be operable using lower voltages and increasing the miniaturization of the device. The floating gate may be used in n-type and p-type devices, including n-type and p-type fin-FET devices. The stored charge may be electrons or holes.
    Type: Grant
    Filed: April 20, 2005
    Date of Patent: October 13, 2009
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chien-Chao Huang, Chi Min-Hwa, Fu-Liang Yang
  • Publication number: 20090148992
    Abstract: A semiconductor device includes: a semiconductor substrate; multiple active regions of a first conductive type isolated from one another by shallow-trench isolation regions provided on one surface of the semiconductor substrate; multiple silicon pillars including channel silicon pillars formed in the active regions; multiple first semiconductor regions of a second conductive type that are respectively formed on bottom ends of the silicon pillars and to be sources or drains; multiple second semiconductor regions of the second conductive type that are formed on top ends of the silicon pillars and to be sources or drains; multiple gate insulating films surrounding the silicon pillars; and multiple gate electrodes surrounding the gate insulating films. At least one of the channel silicon pillars has a height different from that of another one of the channel silicon pillars.
    Type: Application
    Filed: December 4, 2008
    Publication date: June 11, 2009
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Kiyonori OYU
  • Patent number: 7540935
    Abstract: A method of etching a conductive layer includes converting at least a portion of the conductive layer and etching the conductive layer to substantially remove the converted portion of the conductive layer and thereby expose a remaining surface. The remaining surface has an average surface roughness of less than about 10 nm. A system for etching a conductive layer is also disclosed.
    Type: Grant
    Filed: March 9, 2005
    Date of Patent: June 2, 2009
    Assignee: Lam Research Corporation
    Inventors: Yunsang Kim, Andrew D. Bailey, III, Hyungsuk Alexander Yoon, Arthur M. Howald
  • Publication number: 20090085167
    Abstract: The present invention is related to the field of semiconductor processing and, more particularly, to the formation of low resistance layers on germanium substrates. One aspect of the present invention is a method comprising: providing a substrate on which at least one area of a germanium layer is exposed; depositing over the substrate and said germanium area a metal, e.g., Co or Ni; forming over said metal, a capping layer consisting of a silicon oxide containing layer, of a silicon nitride layer, or of a tungsten layer, preferably of a SiO2 layer; then annealing for metal-germanide formation; then removing selectively said capping layer and any unreacted metal, wherein the temperature used for forming said capping layer formation is lower than the annealing temperature.
    Type: Application
    Filed: August 29, 2008
    Publication date: April 2, 2009
    Applicant: Interuniversitair Microelektronica Centrum vzw (IMEC)
    Inventors: David Brunco, Marc Meuris
  • Patent number: 7166543
    Abstract: Methods of forming a metal oxide surface that is enriched with metal oxide in its higher oxidation state for use in a semiconductor device are provided. A metal oxide surface that is enriched with metal oxide in its higher oxidation state for use in a semiconductor device is also provided.
    Type: Grant
    Filed: August 30, 2004
    Date of Patent: January 23, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Stephen W. Russell, Max Hineman