Using Mask (epo) Patents (Class 257/E21.314)
  • Patent number: 11569409
    Abstract: Embodiments of the present disclosure relate to a transfer head assembly and an LED transfer apparatus, and more particularly, to a transfer head assembly and an LED transfer apparatus in which a plurality of pickup units picks up LEDs, which are adhered to the upper surfaces of the LEDs, and transfers the LEDs to a display substrate. According to the embodiments of the present disclosure, a large number of LEDs located on a wafer substrate or a carrier substrate can be transferred in bulk to a display substrate. Thus, it is possible to rapidly perform the transfer process of the LEDs.
    Type: Grant
    Filed: September 20, 2018
    Date of Patent: January 31, 2023
    Assignee: LG Display Co., Ltd.
    Inventors: Seungjun Lee, Myungsoo Han, Kiyong Yang
  • Patent number: 11450533
    Abstract: An imprint apparatus cures an imprint material supplied onto a substrate held by a substrate holder by bringing a mold held by a mold holder into contact with the imprint material. The imprint apparatus includes an adjuster to adjust a distance between the substrate holder and the mold holder for contact and separation between the imprint material and the mold, an energy supply tool to supply, to the imprint material, energy for curing the imprint material supplied onto the substrate held by the substrate holder, and a controller to control the adjuster and the energy supply tool. The controller controls the adjuster so as to start separation between the imprint material and the mold in a period during which the energy supply tool supplies the energy to the imprint material that is in contact with the mold.
    Type: Grant
    Filed: July 14, 2016
    Date of Patent: September 20, 2022
    Assignee: CANON KABUSHIKI KAISHA
    Inventor: Fumiaki Kitayama
  • Patent number: 10403547
    Abstract: A method includes providing a transistor structure, comprising a semiconductor fin and a plurality of gate structures, disposed on the semiconductor fin, forming an insulator layer on the transistor structure, and performing a lithographic process including an overlay shift, comprising defining a set of openings to be formed in the insulator layer. The set of openings define a shift in a first direction with respect to a midpoint between adjacent gate structures of the plurality of gate structures. The method includes etching the insulator layer using the plurality of openings, to form a trench region between a pair of adjacent gate structures, wherein a source/drain region between the pair of adjacent gate structures is exposed. The method includes performing an angled deposition of a dielectric coating, wherein the dielectric coating forms a coating on a first side of the trench, and not on a second side of the trench region.
    Type: Grant
    Filed: April 3, 2018
    Date of Patent: September 3, 2019
    Assignee: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventor: Min Gyu Sung
  • Patent number: 10361209
    Abstract: A manufacturing method of a semiconductor memory device includes following steps. Bit line structures and storage node contacts are formed on a semiconductor substrate. A first sidewall spacer is formed on sidewalls of each bit line structure. A conductive layer covering the bit line structures, the first sidewall spacer, and the storage node contacts is formed. A first patterning process is preformed to the conductive layer for forming stripe contact structures. Each stripe contact structure is elongated in the first direction and corresponding to the storage node contacts. The first sidewall spacer at a first side of each bit line structure is exposed by the first patterning process. The first sidewall spacer at a second side of each bit line structure is covered by the stripe contact structures. The first sidewall spacer exposed by the first patterning process is removed for forming first air spacers.
    Type: Grant
    Filed: July 24, 2018
    Date of Patent: July 23, 2019
    Assignees: UNITED MICROELECTRONICS CORP., Fujian Jinhua Integrated Circuit Co., Ltd.
    Inventors: Ying-Chiao Wang, Li-Wei Feng, Chien-Ting Ho, Wen-Chieh Lu, Li-Wei Liu
  • Patent number: 10289781
    Abstract: A management apparatus includes: a manipulation & display unit including a user interface for selecting a reference device information, and configured to display: a difference between the device information obtained from the substrate processing device and the reference device information selected via the user interface; a content of the reference device information; and a content of the device information, and further configured to receive a command for modifying the device information; and a control unit configured to modify the device information based on the command received from the manipulation & display unit and configured to transmit a modified device information to the substrate processing device.
    Type: Grant
    Filed: November 20, 2015
    Date of Patent: May 14, 2019
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Toshiro Koshimaki, Kazuhide Asai, Hideto Shimizu, Kayoko Yashiki, Kazuyoshi Yamamoto, Nobuhisa Makino
  • Patent number: 10050116
    Abstract: A method for forming a semiconductor device structure is provided. The method includes forming a gate stack, a spacer layer, and a dielectric layer over a substrate. The method includes removing a first portion of the dielectric layer to form a first hole in the dielectric layer. A second portion of the dielectric layer is under the first hole. The method includes forming a first protection layer over the gate stack and the spacer layer. The method includes forming a second protection layer over the first protection layer. The second protection layer includes a metal compound material, and the first protection layer and the second protection layer includes a same metal element. The method includes removing the second portion of the dielectric layer to form a through hole. The method includes forming a conductive contact structure in the through hole.
    Type: Grant
    Filed: October 17, 2017
    Date of Patent: August 14, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsiao-Ping Liu, Hung-Chang Hsu, Hung-Wen Su, Ming-Hsing Tsai, Rueijer Lin, Sheng-Hsuan Lin, Ya-Lien Lee, Yen-Shou Kao
  • Patent number: 10049875
    Abstract: Provided is a method for critical dimension (CD) trimming of a structure pattern in a substrate, the method comprising: providing a substrate in a process chamber of a patterning system, the substrate comprising a first structure pattern and an underlying layer, the underlying layer comprising a silicon anti-reflective coating (SiARC) or a silicon oxynitride (SiON) layer, an optical planarization layer, and a target patterning layer; performing an optional CD trimming process of the first structure pattern; performing a series of processes to open the SiARC or SiON layer and performing additional CD trimming if required; and performing a series of processes to open the optical planarization layer, the series of processes generating a final structure pattern, and performing additional CD trimming if required; wherein the planarization layer is one of a group comprising an advance patterning film (APF), an organic dielectric layer (ODL) or a spin-on hardmask (SOH) layer.
    Type: Grant
    Filed: February 28, 2017
    Date of Patent: August 14, 2018
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Angelique Raley, Akiteru Ko
  • Patent number: 9865464
    Abstract: A nanocrystalline diamond layer for use in forming a semiconductor device and methods for using the same are disclosed herein. The device can include a substrate with a processing surface and a supporting surface, a device layer formed on the processing surface and a nanocrystalline diamond layer formed on the processing layer, the nanocrystalline diamond layer having an average grain size of between 2 nm and 5 nm. The method can include positioning a substrate in a process chamber, depositing a device layer on a processing surface, depositing a nanocrystalline diamond layer on the device layer, the nanocrystalline diamond layer having an average grain size of between 2 nm and 5 nm, patterning and etching the nanocrystalline diamond layer, etching the device layer to form a feature and ashing the nanocrystalline diamond layer from the surface of the device layer.
    Type: Grant
    Filed: November 10, 2016
    Date of Patent: January 9, 2018
    Assignee: Applied Materials, Inc.
    Inventors: Yongmei Chen, Christopher S. Ngai, Jingjing Liu, Jun Xue, Chentsau Ying, Ludovic Godet
  • Patent number: 9624577
    Abstract: Embodiments of the present disclosure relate to a metal-doped amorphous carbon hardmask for etching the underlying layer, layer stack, or structure. In one embodiment, a method of processing a substrate in a processing chamber includes exposing a substrate to a gas mixture comprising a carbon-containing precursor and a metal-containing precursor, reacting the carbon-containing precursor and the metal-containing precursor in the processing chamber to form a metal-doped carbon layer over a surface of the substrate, forming in the metal-doped carbon layer a defined pattern of through openings, and transferring the defined pattern to an underlying layer beneath the metal-doped carbon layer using the metal-doped carbon layer as a mask. An etch hardmask using the inventive metal-doped amorphous carbon film provides reduced compressive stress, high hardness, and therefore higher etch selectivity.
    Type: Grant
    Filed: April 27, 2015
    Date of Patent: April 18, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Pramit Manna, Abhijit Basu Mallick, Mukund Srinivasan, Rui Cheng
  • Patent number: 9502262
    Abstract: A nanocrystalline diamond layer for use in forming a semiconductor device and methods for using the same are disclosed herein. The device can include a substrate with a processing surface and a supporting surface, a device layer formed on the processing surface and a nanocrystalline diamond layer formed on the processing layer, the nanocrystalline diamond layer having an average grain size of between 2 nm and 5 nm. The method can include positioning a substrate in a process chamber, depositing a device layer on a processing surface, depositing a nanocrystalline diamond layer on the device layer, the nanocrystalline diamond layer having an average grain size of between 2 nm and 5 nm, patterning and etching the nanocrystalline diamond layer, etching the device layer to form a feature and ashing the nanocrystalline diamond layer from the surface of the device layer.
    Type: Grant
    Filed: August 24, 2015
    Date of Patent: November 22, 2016
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Yongmei Chen, Christopher S. Ngai, Jingjing Liu, Jun Xue, Chentsau Ying, Ludovic Godet
  • Patent number: 9426895
    Abstract: A method of manufacturing a touch screen panel, including forming first and second conductive layers and an organic insulating layer on a substrate; forming a first organic insulating pattern having a first thickness and a second organic insulating pattern having a second thickness, the second thickness being larger than the first thickness; forming first and second conductive patterns; exposing a part of the second conductive pattern to form a third organic insulating pattern having a thickness smaller than the second thickness; removing the exposed second conductive pattern; forming an organic insulating capping layer surrounding the first and second conductive patterns positioned under the third organic insulating pattern; and forming a third conductive layer on the first conductive pattern and the organic insulating capping layer, the first conductive pattern being exposed, and then forming a connection pattern electrically connected with the exposed first conductive pattern using a second mask.
    Type: Grant
    Filed: August 27, 2015
    Date of Patent: August 23, 2016
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Ji-Hyun Kim, Sung-Kyun Park
  • Patent number: 8975187
    Abstract: Disclosed is a method to form a titanium nitride (TiN) hard mask in the Damascene process of forming interconnects during the fabrication of a semiconductor device, while the type and magnitude of stress carried by the TiN hard mask is controlled. The TiN hard mask is formed in a multi-layered structure where each sub-layer is formed successively by repeating a cycle of processes comprising TiN and chlorine PECVD deposition, and N2/H2 plasma gas treatment. During its formation, the stress to be carried by the TiN hard mask is controlled by controlling the number of TiN sub-layers and the plasma gas treatment duration such that the stress may counter-balance predetermined external stress anticipated on a conventionally made TiN hard mask, which causes trench sidewall distortion, trench opening shrinkage, and gap filling problem.
    Type: Grant
    Filed: June 24, 2013
    Date of Patent: March 10, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Rueijer Lin, Chun-Chieh Lin, Hung-Wen Su, Minghsing Tsai
  • Patent number: 8956886
    Abstract: In some embodiments, a method of controlling a photoresist trimming process in a semiconductor manufacturing process may include forming a photoresist layer atop a first surface of a substrate, wherein the photoresist layer comprises a lower layer having a first pattern to be etched into the first surface of the substrate, and an upper layer having a second pattern that is not etched into the first surface of the substrate; trimming the photoresist layer in a direction parallel to the first surface of the substrate; measuring a trim rate of the second pattern using an optical measuring tool during the trimming process; and correlating the trim rate of the second pattern to a trim rate of the first pattern to control the trim rate of the first pattern during the trimming process.
    Type: Grant
    Filed: March 11, 2014
    Date of Patent: February 17, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Samer Banna, Olivier Joubert, Lei Lian, Maxime Darnon, Nicolas Posseme, Laurent Vallier
  • Patent number: 8785325
    Abstract: According to one embodiment, a method of manufacturing a semiconductor device, the method includes forming first and second cores on a processed material, forming a covering material having a stacked layer includes first and second layers, the covering material covering an upper surface and a side surface of the first and second cores, removing the second layer covering the first core, forming a first sidewall mask having the first layer on the side surface of the first core and a second sidewall mask having the first and second layers on the side surface of the second core by etching the covering material, removing the first and second cores, and forming first and second patterns having different width in parallel by etching the processed material in condition of using the first and second sidewall masks.
    Type: Grant
    Filed: September 15, 2011
    Date of Patent: July 22, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Gaku Sudo
  • Patent number: 8778799
    Abstract: A method for making conductive traces and interconnects on a surface of a substrate includes, for an embodiment, forming a dielectric or polymer layer on the surface of the substrate, forming a seed layer of an electrically conductive material on the dielectric or polymer layer, patterning a photoresist on the seed layer, forming the conductive traces on the patterned photoresist and seed layer, removing the photoresist from the substrate, and irradiating the surface of the substrate with a fluence of laser light effective to ablate the seed layer from areas of the substrate surface exclusive of the conductive traces.
    Type: Grant
    Filed: January 11, 2012
    Date of Patent: July 15, 2014
    Assignee: Tamarack Scientific Co. Inc.
    Inventor: Matthew E. Souter
  • Patent number: 8765612
    Abstract: A double patterning process is described. A substrate having a first area and a second area is provided. A target layer is formed over the substrate. A patterned first photoresist layer is formed over the target layer, wherein the patterned first photoresist layer has openings and has a first thickness in the first area, and at least a portion of the patterned first photoresist layer in the second area has a second thickness less than the first thickness. A second photoresist layer is then formed covering the patterned first photoresist layer and filling in the openings.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: July 1, 2014
    Assignee: Nanya Technology Corporation
    Inventors: Jenn-Wei Lee, Hung-Jen Liu
  • Patent number: 8664040
    Abstract: A method includes performing an etching step on a package. The package includes a package component, a connector on a top surface of the package component, a die bonded to the top surface of the package component, and a molding material molded over the top surface of the package component. The molding material covers the connector, wherein a portion of the molding material covering the connector is removed by the etching step, and the connector is exposed.
    Type: Grant
    Filed: December 20, 2011
    Date of Patent: March 4, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Chung-Shi Liu, Chun-Cheng Lin, Meng-Tse Chen, Ming-Da Cheng
  • Patent number: 8629064
    Abstract: The present invention relates to lithographic apparatuses and processes, and more particularly to multiple patterning lithography for printing target patterns beyond the limits of resolution of the lithographic apparatus. Self-aligned assist pattern (SAP) is derived from original design layout in an automated manner using geometric Boolean operations based on some predefined design rules, and are included in the mask layout for efficient self-alignment of various sub-layouts of the target pattern during a multiple patterning lithography process. SAP can be of any shape and size, and can have continuous features (e.g., a ring), or discontinuous (e.g., bars not connected to each other) features. An end-to-end multiple patterning lithography using spacer and SAP may use positive tone lithography, and/or negative tone lithography for line and/or space printing.
    Type: Grant
    Filed: June 23, 2011
    Date of Patent: January 14, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Xiaoyang Li, Duan-Fu Stephen Hsu
  • Patent number: 8586482
    Abstract: A method for formation of a fin field effect transistor (FinFET) device includes forming a mandrel mask on a metal hardmask layer of a film stack, the film stack including a silicon on insulator (SOI) layer located underneath the metal hardmask layer; forming a large feature (FX) mask on the metal hardmask layer; etching the mandrel mask and the FX mask simultaneously into the metal hardmask layer; etching the mandrel mask and the FX mask into the SOI layer using the etched metal hardmask layer as a mask.
    Type: Grant
    Filed: June 29, 2011
    Date of Patent: November 19, 2013
    Assignee: International Business Machines Corporation
    Inventors: John C. Arnold, Sivananda K. Kanakasabapathy, Stefan Schmitz, Yunpeng Yin
  • Patent number: 8580692
    Abstract: A method for formation of a fin field effect transistor (FinFET) device includes forming a mandrel mask and a large feature (FX) mask on a metal hardmask layer of a film stack, the film stack including a silicon on insulator (SOI) layer located underneath the metal hardmask layer; etching the mandrel mask and the FX mask simultaneously into the metal hardmask layer; and etching the mandrel mask and the FX mask into the SOI layer using the etched metal hardmask layer as a mask.
    Type: Grant
    Filed: June 29, 2011
    Date of Patent: November 12, 2013
    Assignee: International Business Machines Corporation
    Inventors: John C. Arnold, Sivananda K. Kanakasabapathy, Stefan Schmitz, Yunpeng Yin
  • Patent number: 8513033
    Abstract: A design method of a semiconductor device includes setting an inspection region of layout data generated based on circuit data, calculating an area ratio of a first area to a second area, the first area indicating an area of the inspection region, the second area indicating a sum of a surface area of a plane that a first member contacts with a second member, the second member contacting with the first member constituting a circuit element included in the inspection region, the second member further having different heat reflective properties from the first member, and arranging a dummy element in the layout data so that the area ratio is within a predetermined range in each inspection region of the layout data.
    Type: Grant
    Filed: October 25, 2010
    Date of Patent: August 20, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Naoyoshi Kawahara, Shinya Maruyama, Shinichi Miyake
  • Patent number: 8501499
    Abstract: The invention provides a method of processing a wafer using Ion Energy (IE)-related multilayer process sequences and Ion Energy Controlled Multi-Input/Multi-Output (IEC-MIMO) models and libraries that can include one or more measurement procedures, one or more IEC-etch sequences, and one or more Ion Energy Optimized (IEO) etch procedures. The IEC-MIMO process control uses dynamically interacting behavioral modeling between multiple layers and/or multiple IEC etch sequences. The multiple layers and/or the multiple IEC etch sequence can be associated with the creation of lines, trenches, vias, spacers, contacts, and gate structures that can be created using IEO etch procedures.
    Type: Grant
    Filed: March 28, 2011
    Date of Patent: August 6, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Radha Sundararajan, Merritt Funk, Lee Chen, Barton Lane
  • Publication number: 20130157461
    Abstract: A method for fabricating a semiconductor device includes forming an etch-target layer over a substrate having a first region and a second region, stacking first and second hard mask layers over the etch-target layer, forming spacer patterns over the second hard mask layer of the first area, etching the second hard mask layer using the spacer patterns as an etch barrier, forming a hard mask pattern over the first hard mask layer of the second region, etching the first hard mask layer using the second hard mask layer of the first region and the hard mask pattern of the second region as etch barriers, removing the hard mask pattern of the second region, and etching the etch-target layer using the first and second hard mask layers of the first region and the first hard mask layer of the second region as etch barriers.
    Type: Application
    Filed: May 23, 2012
    Publication date: June 20, 2013
    Inventor: Won-Kyu KIM
  • Patent number: 8384135
    Abstract: A phase-change random access memory device includes a semiconductor substrate, a bottom electrode structure formed on the semiconductor substrate, a cylindrical bottom electrode contact that includes a conductive material layer, which is in contact with the bottom electrode, and a cylindrical phase-change material layer that is in contact with the bottom electrode contact. Therefore, the contact area between the bottom electrode contact and the phase-change material layer can be minimized.
    Type: Grant
    Filed: June 20, 2011
    Date of Patent: February 26, 2013
    Assignee: SK hynix Inc.
    Inventors: Cheol Hwi Ryu, Hyung Soon Park, Jong Han Shin, Jum Yong Park, Sung Jun Kim
  • Publication number: 20120282770
    Abstract: A method for fabricating a semiconductor device includes etching a substrate to form a plurality of trenches, forming first liner layers over bottom surfaces and inner sidewalls of the trenches to a first height, forming sacrificial liner layers on one of the inner sidewalls of the trenches where the first liner layers are formed, forming third sacrificial layers to a second height, so that the third sacrificial layers are buried over the trenches where the sacrificial liner layers are formed, removing portions of the sacrificial liner layers exposed by the third sacrificial layers to form sacrificial patterns, forming second liner layers on the inner sidewalls of the trenches exposed by the third sacrificial layers, and removing the third sacrificial layers to form side contact regions opening one of the inner sidewalls of the trenches in a line form.
    Type: Application
    Filed: July 19, 2012
    Publication date: November 8, 2012
    Inventor: Eun-Jung KO
  • Publication number: 20120270395
    Abstract: A method for fabricating a metal pattern in a semiconductor device includes forming a metal layer over a substrate, forming a hard mask layer over the metal layer, forming a sacrifice pattern over the hard mask layer, forming a spacer pattern on sidewalks of the sacrifice pattern, removing the sacrifice pattern, forming a hard mask pattern by etching the hard mask layer using the spacer pattern as an etch barrier, forming an etching protection layer over the hard mask pattern and on sidewalks of the hard mask pattern, and forming the metal pattern by performing primary and secondary etching processes on the metal layer using the etching protection layer as an etch barrier.
    Type: Application
    Filed: December 13, 2011
    Publication date: October 25, 2012
    Inventor: Mi-Na KU
  • Publication number: 20120264283
    Abstract: A gate of an integrated circuit field effect transistor is fabricated by fabricating a gate insulating layer on an integrated circuit substrate, fabricating a metal nitride layer on the gate insulating layer, annealing the metal nitride layer in a nitridizing ambient and fabricating a cap on the metal nitride layer that has been annealed. Thereafter, the cap on the metal nitride layer may be etched to expose sidewalls thereof and another anneal in a nitridizing ambient may take place. Related integrated circuit field effect transistors are also described.
    Type: Application
    Filed: October 10, 2011
    Publication date: October 18, 2012
    Inventor: Michael W. Dennen
  • Publication number: 20120230134
    Abstract: The disclosed embodiments provide a sense amplifier for a dynamic random-access memory (DRAM). This sense amplifier includes a bit line to be coupled to a cell to be sensed in the DRAM, and a complement bit line which carries a complement of a signal on the bit line. The sense amplifier also includes a p-type field-effect transistor (PFET) pair comprising cross-coupled PFETs that selectively couple either the bit line or the complement bit line to a high bit-line voltage. The sense amplifier additionally includes an n-type field effect transistor (NFET) pair comprising cross-coupled NFETs that selectively couple either the bit line or the complement bit line to ground. This NFET pair is lightly doped to provide a low threshold-voltage mismatch between NFETs in the NFET pair. In one variation, the gate material for the NFETs is selected to have a work function that compensates for a negative threshold voltage in the NFETs which results from the light substrate doping.
    Type: Application
    Filed: November 19, 2010
    Publication date: September 13, 2012
    Applicant: RAMBUS INC.
    Inventors: Thomas Vogelsang, Gary B. Bronner
  • Publication number: 20120184099
    Abstract: A method for making conductive traces and interconnects on a surface of a substrate includes, for an embodiment, forming a dielectric or polymer layer on the surface of the substrate, forming a seed layer of an electrically conductive material on the dielectric or polymer layer, patterning a photoresist on the seed layer, forming the conductive traces on the patterned photoresist and seed layer, removing the photoresist from the substrate, and irradiating the surface of the substrate with a fluence of laser light effective to ablate the seed layer from areas of the substrate surface exclusive of the conductive traces.
    Type: Application
    Filed: January 11, 2012
    Publication date: July 19, 2012
    Applicant: Tamarack Scientific Co. Inc.
    Inventor: Matthew E. Souter
  • Patent number: 8119533
    Abstract: Provided is a semiconductor device. The device includes a substrate having a photo acid generator (PAG) layer on the substrate. The PAG layer is exposed to radiation. A photoresist layer is formed on the exposed PAG layer. The exposed PAG layer generates an acid. The acid decomposes a portion of the formed photoresist layer. In one embodiment, the PAG layer includes organic BARC. The decomposed portion of the photoresist layer may be used as a masking element.
    Type: Grant
    Filed: December 31, 2009
    Date of Patent: February 21, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: George Liu, Kuei Shun Chen, Vencent Chang, Shang-Wen Chang
  • Publication number: 20110300705
    Abstract: A manufacturing method of a bump structure with an annular support includes the following steps. A substrate including pads and a passivation layer is provided. The passivation has first openings exposing a portion of the pads. An UBM material layer is formed to cover the passivation layer and the pads. A patterned photoresist layer, having second openings respectively exposing the UBM material layer over the pads, is formed on the UBM material layer. A diameter of each second opening located on a lower surface of the patterned photoresist layer is less than that located on an upper surface of the patterned photoresist layer. Bumps are formed in the second openings. A portion of the patterned photoresist layer is removed to form an annular support at a periphery of each bump. The UBM material layer is patterned using the annular supports and the bumps as masks to form UBM layers.
    Type: Application
    Filed: August 15, 2011
    Publication date: December 8, 2011
    Applicant: CHIPMOS TECHNOLOGIES (BERMUDA) LTD.
    Inventor: Jing-Hong Yang
  • Patent number: 7981797
    Abstract: A method of manufacturing a phase-change random access memory device includes forming an interlayer insulating film on a semiconductor substrate, on which a bottom structure is formed, and patterning the interlayer insulating film to form a contact hole, forming a spacer on the side wall of the contact hole; forming a dielectric layer in the contact hole, and removing the spacer to form a bottom electrode contact hole. Therefore, the contact area between the bottom electrode contact and the phase-change material layer can be minimized.
    Type: Grant
    Filed: June 25, 2008
    Date of Patent: July 19, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventors: Cheol-Hwi Ryu, Hyung-Soon Park, Jong-Han Shin, Jum-Yong Park, Sung-Jun Kim
  • Patent number: 7964900
    Abstract: A semiconductor substrate includes semi-insulating portions beneath openings in a patterned hardmask film formed over a semiconductor substructure to a thickness sufficient to prevent charged particles from passing through the hardmask. The semi-insulating portions include charged particles and may extend deep into the semiconductor substrate and electrically insulate devices formed on opposed sides of the semi-insulating portions. The charged particles may advantageously be protons and further substrate portions covered by the patterned hardmask film are substantially free of the charged particles.
    Type: Grant
    Filed: September 24, 2009
    Date of Patent: June 21, 2011
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Wen-Chin Lin, Denny Tang, Chuan-Ying Lee, Hsu Chen Cheng
  • Patent number: 7951682
    Abstract: A method for fabricating a capacitor in a semiconductor device includes forming an insulation layer over a substrate, forming a storage node contact plug passing through the insulation layer and coupled to the substrate, recessing the storage node contact plug to a certain depth to obtain a sloped profile, forming a barrier metal over the surface profile of the recessed storage node contact plug, forming a sacrificial layer over the substrate structure, etching the sacrificial layer to form an opening exposing the barrier metal, forming a bottom electrode over the surface profile of the opening, and removing the etched sacrificial layer.
    Type: Grant
    Filed: May 4, 2009
    Date of Patent: May 31, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Soung-Min Ku
  • Publication number: 20110065277
    Abstract: A to-be-processed object including an underlying layer and a resist film giving a pattern allowing formation of an exposure region in which the underlying layer is exposed at an upper layer to the underlying layer and a coverage region in which the underlying layer is covered is prepared. A reflow method is provided which softens the resist film to be in a flowing state, resulting in a part of or all of the exposure region covered by it. The resist film has different regions in thickness of at least a thick region and a thin region relatively thinner than the thick region.
    Type: Application
    Filed: November 16, 2010
    Publication date: March 17, 2011
    Applicant: Tokyo Electron Limited
    Inventor: Yutaka ASOU
  • Patent number: 7867911
    Abstract: A method for forming a pattern in a semiconductor device includes forming an etch target layer, forming a hard mask over the etch target layer, the hard mask including a multiple-layer stack structure comprising a bottom layer, a transformed layer, and an upper layer, wherein the transformed layer is formed by transforming a surface of the bottom layer. The hard mask and the etch target layer are etched.
    Type: Grant
    Filed: June 28, 2007
    Date of Patent: January 11, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventors: Ki-Won Nam, Ky-Hyun Han
  • Patent number: 7807574
    Abstract: An etching method in a semiconductor device includes forming a nitride-based first hard mask layer over a target etch layer, forming a carbon-based second hard mask pattern over the first hard mask layer, etching the first hard mask layer using the second hard mask pattern as an etch barrier to form a first hard mask pattern, cleaning a resultant structure including the first hard mask pattern, and etching the target etch layer using the second hard mask pattern as an etch barrier.
    Type: Grant
    Filed: May 10, 2007
    Date of Patent: October 5, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventors: Jae-Seon Yu, Sang-Rok Oh
  • Patent number: 7794793
    Abstract: A method for preparation of carbon nanotubes (CNTs) bundles for use in field emission devices (FEDs) includes forming a plurality of carbon nanotubes on a substrate, contacting the carbon nanotubes with a polymer composition comprising a polymer and a solvent, and removing at least a portion of the solvent so as to form a solid composition from the carbon nanotubes and the polymer to form a carbon nanotube bundle having a base with a periphery, and an elevated central region where, along the periphery of the base, the carbon nanotubes slope toward the central region.
    Type: Grant
    Filed: December 3, 2007
    Date of Patent: September 14, 2010
    Assignee: Brother International Corporation
    Inventor: Kangning Liang
  • Patent number: 7767582
    Abstract: A method of manufacturing a semiconductor device, includes forming a sacrifice film on an etching target film, forming an etching mask on the sacrifice film, etching the etching target film using the etching mask as a mask, removing the sacrifice film to allow the etching mask to adhere to the etching target film, and removing the etching mask.
    Type: Grant
    Filed: September 22, 2006
    Date of Patent: August 3, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Nobuyasu Nishiyama, Kazuhiro Tomioka, Tokuhisa Ohiwa
  • Publication number: 20100184287
    Abstract: A method of forming patterns of a semiconductor device includes forming a hard mask layer and a first sacrificial layer over a first region and a second region of a semiconductor substrate, etching the first sacrificial layer to form a first sacrificial pattern having a first width in the first region and second sacrificial patterns having a second width in the second region, wherein the second width is narrower than the first width, forming a first spacer surrounding sidewalls of the first sacrificial pattern and a second spacer surrounding sidewalls of the second sacrificial patterns, removing the first and the second sacrificial patterns; and etching the first and second spacers.
    Type: Application
    Filed: June 29, 2009
    Publication date: July 22, 2010
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Jae Doo Eom
  • Patent number: 7754591
    Abstract: A method for forming a fine pattern of a semiconductor device include forming a stack structure including a 1st layer hard mask film to a nth layer hard mask film (n is an integer ranging from 2 or more) over an underlying layer formed over a semiconductor substrate. The nth layer hard mask film, the top layer, is selectively etched to obtain a first hard mask pattern of the nth layer. A second hard mask pattern of the nth layer is formed between the first hard mask patterns of the nth layer. A (n?1)th layer hard mask film is etched using the first and the second hard mask pattern of the nth layer as etching masks. The (c) step to the (d) step repeat to form the first and the second hard mask patterns of the 1st layer over the underlying layer. And, the underlying layer is etched using the first and second hard mask patterns of the 1st layer as etching masks.
    Type: Grant
    Filed: February 8, 2007
    Date of Patent: July 13, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jae Chang Jung
  • Patent number: 7745349
    Abstract: A method for fabricating a semiconductor transistor which eliminates device defects generated during an etching process for forming gates. The method may include laminating an ONO layer on and/or over a semiconductor substrate, and then coating a polysilicon layer on and/or over the ONO layer, and then forming a photoresist pattern on and/or over the polysilicon layer, and then sequentially performing a first etching of the polysilicon layer using the photoresist pattern as an etching mask so as to maintain a predetermined thickness of the polysilicon layer and then a second etching to remove the polysilicon layer remaining from the first etching.
    Type: Grant
    Filed: June 12, 2008
    Date of Patent: June 29, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Jeong-Yel Jang
  • Publication number: 20100109054
    Abstract: Provided is a semiconductor device. The device includes a substrate having a photo acid generator (PAG) layer on the substrate. The PAG layer is exposed to radiation. A photoresist layer is formed on the exposed PAG layer. The exposed PAG layer generates an acid. The acid decomposes a portion of the formed photoresist layer. In one embodiment, the PAG layer includes organic BARC. The decomposed portion of the photoresist layer may be used as a masking element.
    Type: Application
    Filed: December 31, 2009
    Publication date: May 6, 2010
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: George Liu, Kuei Shun Chen, Vencent Chang, Shang-Wen Chang
  • Patent number: 7696027
    Abstract: Disclosed is a method of fabricating a display substrate. A black matrix and a color filter layer are formed on a base substrate, and then a transparent electrode and a photoresist layer pattern are sequentially formed. The transparent electrode is patterned using the photoresist layer pattern as a mask to form a common electrode, and a spacer is formed using the photoresist layer pattern.
    Type: Grant
    Filed: September 17, 2007
    Date of Patent: April 13, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Woo-Sik Cho, Yun-Seok Lee, Dong-Won Woo, Ji-Hyeon Son
  • Patent number: 7678588
    Abstract: An optical critical dimension measuring method, applicable in measuring a pattern, that includes a plurality of polysilicon layers, of a device, is provided. The method includes obtaining a real curve corresponding to the to-be-measured device. Then, determining whether an ion implantation process has been performed on the polysilicon layers, a different module is selected. A correlation process is performed according to the selected module to generate a theoretical curve that correlates with the real curve to obtain a plurality of parameters corresponding to the theoretical curve.
    Type: Grant
    Filed: January 22, 2008
    Date of Patent: March 16, 2010
    Assignee: United Microelectronics Corp.
    Inventors: Chun-Chi Huang, Wen-Yi Teng
  • Publication number: 20100019303
    Abstract: A method for fabricating conductive patterns includes forming a conductive layer over a substrate, etching the conductive layer to a first thickness to form first patterns, forming spacers on sidewalls of the first patterns, and etching the conductive layer to a second thickness using the spacers as an etch barrier to form second patterns. Thus, conductive patterns can be formed with vertical sidewalls without being damaged, and lean and collapse of the conductive patterns are prevented.
    Type: Application
    Filed: March 27, 2009
    Publication date: January 28, 2010
    Applicant: Hynix Semiconductor Inc.
    Inventor: Jeong-Kyu KANG
  • Patent number: 7648918
    Abstract: Provided is a method of fabricating a semiconductor device. The method includes providing a substrate, forming a photo acid generator (PAG) layer on the substrate, exposing the PAG layer to radiation, and forming a photoresist layer on the exposed PAG layer. The exposed PAG layer generates an acid. The acid decomposes a portion of the formed photoresist layer. In one embodiment, the PAG layer includes organic BARC. The decomposed portion of the photoresist layer may be used as a masking element.
    Type: Grant
    Filed: August 20, 2007
    Date of Patent: January 19, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: George Liu, Kuei Shun Chen, Vencent Chang, Shang-Wen Chang
  • Patent number: 7638369
    Abstract: There is provided a semiconductor chip having fuses. The semiconductor chip includes fuses each having a first terminal electrically connected to a first logic circuit, a second terminal electrically connected to a second logic circuit, and a blowable region formed between the first terminal and the second terminal; and fuse residues each having the same patterns with those of the first terminal and the second terminal of the fuses, and configured so that patterns corresponded to the first terminals and the second terminals are electrically disconnected from each other.
    Type: Grant
    Filed: February 3, 2006
    Date of Patent: December 29, 2009
    Assignee: NEC Electronics Corporation
    Inventors: Takashi Sakoh, Ryo Kubota
  • Patent number: 7625493
    Abstract: In order to achieve low cost of manufacture of a display device by reducing the use of primary material used in a manufacturing process of a display device and saving labor taken for a vacuum process, according to the invention, liquid droplets containing conductive particles are ejected on a film being processed by using a first liquid droplet ejecting apparatus having a liquid droplet ejecting head provided with a plurality of liquid droplet ejecting orifices, thereby a conductive film is formed. After that, a resist pattern is locally formed on the conductive film by using a second liquid droplet ejecting apparatus having a liquid droplet ejecting head provided with a plurality of liquid droplet ejecting orifices. The conductive film is etched with the resist pattern as a mask to form a wiring.
    Type: Grant
    Filed: February 6, 2004
    Date of Patent: December 1, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 7622358
    Abstract: A method for forming semi-insulating portions in a semiconductor substrate provides depositing a hardmask film over a semiconductor substructure to a thickness sufficient to prevent charged particles from passing through the hardmask. The hardmask is patterned creating openings through which charged particles pass and enter the substrate during an implantation process. The semi-insulating portions may extend deep into the semiconductor substrate and electrically insulate devices formed on opposed sides of the semi-insulating portions. The charged particles may advantageously be protons and further substrate portions covered by the patterned hardmask film are substantially free of the charged particles.
    Type: Grant
    Filed: September 30, 2005
    Date of Patent: November 24, 2009
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Wen-Chin Lin, Denny Tang, Chuan-Ying Lee, Hsu Chen Cheng