Of Silicon Body, E.g., For Gettering (epo) Patents (Class 257/E21.318)
  • Publication number: 20100279492
    Abstract: Upgraded metallurgical grade silicon (UMG-Si) is fabricated by a ‘green’ (environmental protected) external gettering procedure. Impurities concentration of the fabricated UMG-Si is reduced for 100 times than its source material. The UMG-Si obtained has a purity ratio reaching 4N to 6N. Thus, substrates made of the UMG-Si can be used in solar cells and related photoelectrical applications.
    Type: Application
    Filed: May 2, 2009
    Publication date: November 4, 2010
    Applicant: ATOMIC ENERGY COUNCIL-INSTITUTE OF NUCLEAR ENERGY RESEARCH
    Inventor: Tsun-Neng YANG
  • Publication number: 20100276788
    Abstract: Embodiments of the present invention describe a method and device of preventing delamination of semiconductor layers in a semiconductor device. The semiconductor device comprises a substrate with an interlayer dielectric (ILD). A protection layer is deposited on the ILD. Next, a getter layer is formed on the protection layer to remove any native oxides on the protection layer. A capping layer is then deposited on the getter layer to prevent oxidation of the getter layer. Next, a semiconductor layer is formed on the capping layer. An oxide layer is then deposited on the semiconductor layer. Subsequently, a buffered oxide etch solution is used to remove the oxide layer. By removing the native oxides on the protection layer, the getter layer prevents the reaction between the buffered oxide etch solution and the native oxides which may cause delamination of the semiconductor layer and protection layer.
    Type: Application
    Filed: September 29, 2008
    Publication date: November 4, 2010
    Inventor: Ajay Jain
  • Publication number: 20100267184
    Abstract: Method of manufacturing image sensors having a plurality of gettering regions. In the method, a gate electrode may be formed on a semiconductor substrate. A source/drain region may be formed in the semiconductor substrate to be overlapped with the gate electrode. A gettering region may be formed in the semiconductor substrate to be adjacent to the source/drain region.
    Type: Application
    Filed: April 19, 2010
    Publication date: October 21, 2010
    Inventor: Hyun-Pil Noh
  • Patent number: 7816279
    Abstract: A semiconductor device includes a first conductor disposed on a semiconductor substrate; an oxygen-containing insulation film disposed on the semiconductor substrate and on the first conductor, the insulation film having a contact hole which extends to the first conductor and a trench which is connected to an upper portion of the contact hole; a zirconium oxide film disposed on a side surface of the contact hole and a side surface and a bottom surface of the trench; a zirconium film disposed on the zirconium oxide film inside the contact hole and inside the trench; and a second conductor composed of Cu embedded into the contact hole and into the trench.
    Type: Grant
    Filed: February 11, 2009
    Date of Patent: October 19, 2010
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Michie Sunayama, Yoshiyuki Nakao, Noriyoshi Shimizu
  • Publication number: 20100258915
    Abstract: A method of manufacturing a semiconductor device may include, but is not limited to the following processes. An epitaxial layer is formed on a semiconductor substrate. A semiconductor element is formed in the epitaxial layer. The semiconductor substrate is removed from the epitaxial layer.
    Type: Application
    Filed: April 9, 2010
    Publication date: October 14, 2010
    Applicant: ELPIDA MEMORY, INC
    Inventor: KAZUKI HISAKANE
  • Publication number: 20100255643
    Abstract: Gate electrodes are formed on a substrate. A gate insulation film is formed so as to cover the gate electrodes. A semiconductor layer is formed in regions on the gate insulation film in a region which overlap with at least the gate electrodes. Plasma treatment is applied to the semiconductor layer using a gas which contains a dopant thus increasing impurity concentration of a surface layer of the semiconductor layer. A conductive film is formed on the surface layer of the semiconductor layer to which the plasma treatment is applied. A source electrode and a drain electrode are formed by etching the conductive film.
    Type: Application
    Filed: April 7, 2010
    Publication date: October 7, 2010
    Inventors: Hidekazu Nitta, Hidekazu Miyake, Takuo Kaitoh, Daisuke Sonoda
  • Publication number: 20100240165
    Abstract: A manufacturing method of a polycrystalline solar cell is disclosed. A polycrystalline silicon solar cell in accordance with the present invention performs crystallization-annealing amorphous silicon with a metal catalyst so as to reduce a crystallization temperature. The manufacturing method of a solar cell in accordance with the present invention includes the steps of (a) forming a first amorphous silicon layer on a substrate; (b) forming a second amorphous silicon layer on the first amorphous silicon layer; (c) forming a metal layer on the second amorphous silicon layer; (d) performing crystallization-annealing the second amorphous silicon layer; and (e) forming a third amorphous silicon layer on a resulting crystalline silicon layer of the step (d).
    Type: Application
    Filed: October 29, 2008
    Publication date: September 23, 2010
    Applicant: TG SOLAR CORPORATION
    Inventors: Taek Yong Jang, Byung Il Lee
  • Publication number: 20100224968
    Abstract: This method for manufacturing a high resistivity silicon wafer includes pulling a single crystal such that the single crystal has a p-type dopant concentration at which a wafer surface resistivity becomes in a range of 0.1 to 10 k?cm, an oxygen concentration Oi of 5.0×1017 to 20×1017 atoms/cm3 (ASTM F-121, 1979), and either one of a nitrogen concentration of 1.0×1013 to 10×1013 atoms/cm3 (ASTM F-121, 1979) and a carbon concentration of 0.5×1016 to 10×1016 atoms/cm3 or 0.5×1016 to 50×1016 atoms/cm3 (ASTM F-123, 1981) by using a Czochralski method, processing the single crystal into wafers by slicing the single crystal, and subjecting the wafer to an oxygen out-diffusion heat treatment process in a non-oxidizing atmosphere.
    Type: Application
    Filed: March 1, 2010
    Publication date: September 9, 2010
    Applicant: SUMCO CORPORATION
    Inventor: Kazunari Kurita
  • Publication number: 20100227431
    Abstract: A method is provided for making a crystalline silicon solar cell on a low purity substrate by depositing p+-p-n+, or n+-n-p+ layers of amorphous silicon, depending on the type of wafer, on a crystalline silicon substrate, such as an upgraded metallurgical grade silicon substrate, with substrate vias of varying diameters formed thereon, annealing the stack of amorphous silicon layers to cause solid phase epitaxial crystallization, and metallizing the substrate assembly using standard metallization techniques. One embodiment of the present invention provides depositing a passivation layer onto the third deposited silicon layer subsequent to the crystallization. Another embodiment provides depositing a passivation layer on the back side of the substrate subsequent to crystallization and punching selected regions at the substrate vias prior to back metallization.
    Type: Application
    Filed: March 3, 2009
    Publication date: September 9, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventor: VIRENDRA V. RANA
  • Publication number: 20100216274
    Abstract: A method for making a tandem solar cell includes the steps of providing a ceramic substrate, providing a titanium-based layer on the ceramic substrate, providing an n+-p?-p+ laminate on the titanium-based layer, passivating the n+-p?-p+ laminate, providing an n-i-p laminate on the n+-p?-p+ laminate, providing a p-type ohmic contact, providing an n-type ohmic contact providing an anti-reflection layer of SiCN/SiO2 on the n-i-p laminate.
    Type: Application
    Filed: October 31, 2007
    Publication date: August 26, 2010
    Applicant: ATOMIC ENERGY COUNCIL - INSTITUTE OF NUCLEAR ENERGY RESEARCH
    Inventors: Tsun-Neng Yang, Lan Shan-Ming, Chiang Chin-Chen, Ma Wei-Yang, Ku Chien-Te, Huang Yu-Hsiang
  • Patent number: 7776723
    Abstract: In an example embodiment of the method of manufacturing an epitaxial semiconductor substrate, a gettering layer is grown over a semiconductor substrate. An epitaxial layer may then be formed over the gettering layer, and a semiconductor device may be formed on the epitaxial layer.
    Type: Grant
    Filed: July 29, 2005
    Date of Patent: August 17, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ho Lee, DongSuk Shin, Tetsuji Ueno, Seung-Hwan Lee, Hwa-Sung Rhee
  • Publication number: 20100201854
    Abstract: A solid-state image pick-up device is provided which includes a semiconductor substrate main body which has an element forming layer and a gettering layer provided on an upper layer thereof; photoelectric conversion elements, each of which includes a first conductive type region, provided in the element forming layer; and a dielectric film which is provided on an upper layer of the gettering layer and which induces a second conductive type region in a surface of the gettering layer.
    Type: Application
    Filed: January 28, 2010
    Publication date: August 12, 2010
    Applicant: SONY CORPORATION
    Inventor: Shin Iwabuchi
  • Publication number: 20100203708
    Abstract: The present invention provides an improved amorphization/templated recrystallization (ATR) method for fabricating low-defect-density hybrid orientation substrates. ATR methods for hybrid orientation substrate fabrication generally start with a Si layer having a first orientation bonded to a second Si layer or substrate having a second orientation. Selected regions of the first Si layer are amorphized and then recrystallized into the orientation of the second Si layer by using the second Si layer as a template. The process flow of the present invention solves two major difficulties not disclosed by prior art ATR methods: the creation of “corner defects” at the edges of amorphized Si regions bounded by trenches, and undesired orientation changes during a high temperature post-recrystallization defect-removal annealing of non-ATR'd regions not bounded by trenches.
    Type: Application
    Filed: April 26, 2010
    Publication date: August 12, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Keith Edward Fogel, Katherine L. Saenger, Chun-Yung Sung, Haizhou Yin
  • Publication number: 20100186803
    Abstract: The present invention relates to methods and apparatuses for providing a buried insulator isolation for solar cell contacts. According to certain aspects, the invention places a buried oxide under the emitter of a polysilicon emitter solar cell. The oxide provides an excellent passivation layer over most of the surface. Holes in the oxide provide contact areas, increasing the current density to enhance efficiency. The oxide isolates the contacts from the substrate, achieving the advantage of a selective emitter structure without requiring deep diffusions. The oxide further enables use of screen printing on advanced shallow emitter cells. Positioning of the grid lines close to the openings also enables use of a very thin emitter to maximize blue response.
    Type: Application
    Filed: January 27, 2009
    Publication date: July 29, 2010
    Inventors: PETER BORDEN, Li Xu
  • Patent number: 7759227
    Abstract: A method is provided capable of universally controlling the proximity gettering structure, the need for which can vary from manufacturer to manufacturer, by arbitrarily controlling an M-shaped distribution in a depth direction of a wafer BMD density after RTA in a nitrogen-containing atmosphere. The heat-treatment method is provided for forming a desired internal defect density distribution by controlling a nitrogen concentration distribution in a depth direction of the silicon wafer for heat-treatment, the method including heat-treating a predetermined silicon wafer used for manufacturing a silicon wafer having a denuded zone in the vicinity of the surface thereof.
    Type: Grant
    Filed: April 22, 2005
    Date of Patent: July 20, 2010
    Assignee: Sumco Techxiv Corporation
    Inventors: Susumu Maeda, Takahisa Sugiman, Shinya Sadohara, Shiro Yoshino, Kouzo Nakamura
  • Patent number: 7754585
    Abstract: A method of subjecting a silicon wafer doped with boron to a heat treatment in an argon atmosphere, wherein the argon atmosphere is replaced with a hydrogen atmosphere or a mixed gas of an argon gas and a hydrogen gas in a proper fashion, to thereby uniformize a boron concentration in the thickness direction of the surface layer of the silicon wafer doped with boron.
    Type: Grant
    Filed: December 21, 2001
    Date of Patent: July 13, 2010
    Assignee: Sumco Techxiv Corporation
    Inventors: Yuji Sato, Shirou Yoshino, Hiroshi Furukawa, Hiroyuki Matsuyama
  • Patent number: 7755085
    Abstract: A semiconductor device has an IC chip with a thickness of equal to or less than 100 ?m and includes a semiconductor substrate. A device forming region is within the depth of approximately equal to or less than 5 ?m from a surface of the semiconductor substrate, and a total thickness of the semiconductor substrate is from 5 ?m to 100 ?m. A BMD layer for carrying out gettering of metal impurities is provided immediately under the device forming region. Since a gettering site is provided immediately under the device forming region, in a device or the like of which extreme thinness is required, degradation of device characteristics and reliability due to contamination of metal impurities can be prevented, and stabilize and improve the device yield.
    Type: Grant
    Filed: May 10, 2006
    Date of Patent: July 13, 2010
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Mitsuhiro Takahi, Kazuhiro Moritani
  • Publication number: 20100159664
    Abstract: Disclosed is an improved semiconductor structure (e.g., a silicon germanium (SiGe) hetero-junction bipolar transistor) having a narrow essentially interstitial-free SIC pedestal with minimal overlap of the extrinsic base. Also, disclosed is a method of forming the transistor which uses laser annealing, as opposed to rapid thermal annealing, of the SIC pedestal to produce both a narrow SIC pedestal and an essentially interstitial-free collector. Thus, the resulting SiGe HBT transistor can be produced with narrower base and collector space-charge regions than can be achieved with conventional technology.
    Type: Application
    Filed: March 8, 2010
    Publication date: June 24, 2010
    Applicant: International Business Machines Corporation
    Inventors: Oleg Gluschenkov, Rajendran Krishnasamy, Kathryn T. Schonenberg
  • Publication number: 20100151657
    Abstract: A method of improving the intrinsic gettering ability of a wafer is described. A first annealing step is performed to the wafer at a first temperature in an atmosphere containing at least one of oxygen gas and nitrogen gas. A second annealing step is performed to the wafer, at a second temperature higher than the first temperature, in the atmosphere.
    Type: Application
    Filed: December 15, 2008
    Publication date: June 17, 2010
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventors: Chun-Ling Chiang, Jung-Yu Hsieh, Ling-Wu Yang
  • Publication number: 20100148310
    Abstract: A method of processing a semiconductor wafer includes preheating the wafer to a preheating temperature that is less than a peak temperature, heating the wafer from the preheating temperature to the peak temperature at a first ramp rate that averages about 100° C. per second or more, and, immediately after heating the wafer from the preheating temperature to the peak temperature, cooling the wafer at a second ramp rate that averages about ?70° C. per second or more from the peak temperature to the preheating temperature, wherein the peak temperature is about 1,100° C. or more.
    Type: Application
    Filed: October 1, 2009
    Publication date: June 17, 2010
    Inventors: Tae-Hyoung Koo, Sam-jong Choi, Yeonsook Kim, Taesung Kim, Heesung Kim, KyooChul Cho, Joonyoung Choi
  • Patent number: 7737004
    Abstract: In one embodiment, a multi-layer extrinsic gettering structure includes plurality of polycrystalline semiconductor layers each separated by a dielectric layer.
    Type: Grant
    Filed: July 3, 2006
    Date of Patent: June 15, 2010
    Assignee: Semiconductor Components Industries LLC
    Inventors: David Lysacek, Michal Lorenc, Lukas Valek
  • Publication number: 20100136768
    Abstract: The invention relates to a method for simultaneous doping and oxidizing semiconductor substrates and also to doped and oxidized semiconductors substrates produced in this manner. Furthermore, the invention relates to the use of this method for producing solar cells.
    Type: Application
    Filed: September 4, 2007
    Publication date: June 3, 2010
    Applicant: Fraunhofer-Gesellschaft zur Forderung der Angewandten Forschung E.V.
    Inventors: Daniel Biro, Ralf Preu, Jochen Rentsch
  • Publication number: 20100105190
    Abstract: A semiconductor device manufacturing method is provided, including: providing a semiconductor substrate, forming on the semiconductor substrate a layer including a semiconductor compound and a dope additive, and thereafter forming an emitter region and gettering impurities by annealing the semiconductor substrate including the layer.
    Type: Application
    Filed: October 23, 2008
    Publication date: April 29, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventor: Rafel Ferre i Tomas
  • Publication number: 20100105191
    Abstract: The present invention provides a method for manufacturing a silicon single crystal wafer, in which a silicon single crystal wafer that is fabricated based on a Czochralski method and has an entire plane in a radial direction formed of an N region is subjected to a rapid thermal annealing in an oxidizing atmosphere, an oxide film formed in the rapid thermal annealing in the oxidizing atmosphere is removed, and then a rapid thermal annealing is carried out in a nitriding atmosphere, an Ar atmosphere, or a mixed atmosphere of these atmospheres. As a result, there can be provided the manufacturing method that can inexpensively manufacture a silicon single crystal wafer both in which a DZ layer is formed in a wafer surface layer to provide excellent device characteristics and in which an oxide precipitate functioning as a gettering site can be sufficiently formed in a bulk region.
    Type: Application
    Filed: January 24, 2008
    Publication date: April 29, 2010
    Applicant: SHIN-ETSU HANDOTAI CO., LTD.
    Inventors: Yoshinori Hayamizu, Hiroyasu Kikuchi
  • Publication number: 20100099213
    Abstract: The present invention provides a method for blocking the dislocation propagation of a semiconductor. A semiconductor layer is formed by epitaxial process on a substrate. A plurality of recesses is formed on the semiconductor layer by etching fragile locations of the semiconductor layer where dislocation occurs. Thereafter, a blocking layer is formed on each of the plurality of recesses. The aforesaid semiconductor layer undergoes epitaxial process again on the aforesaid semiconductor layer, and laterally overgrows to redirect the dislocation defects.
    Type: Application
    Filed: October 15, 2009
    Publication date: April 22, 2010
    Applicant: ADVANCED OPTOELECTRONIC TECHNOLOGY INC.
    Inventors: PENG YI WU, SHIH CHENG HUANG, PO MIN TU, YING CHAO YEH, WEN YU LIN, SHIH HSIUNG CHAN
  • Publication number: 20100090303
    Abstract: A SOI substrate includes a silicon substrate, a silicon oxide layer arranged on the silicon substrate, a silicon layer arranged on the silicon oxide layer, a gettering layer arranged in the silicon substrate, and a damaged layer formed of an impurity-doped region arranged in the silicon oxide layer.
    Type: Application
    Filed: October 6, 2009
    Publication date: April 15, 2010
    Applicant: SONY CORPORATION
    Inventor: Ritsuo Takizawa
  • Publication number: 20100093126
    Abstract: A method for manufacturing a poly-crystal silicon photovoltaic device using horizontal metal induced crystallization comprises the steps of forming at least one layer of an amorphous silicon thin film on a substrate, forming at least one groove of which depth is less than or equal to that of the thin film on the amorphous silicon thin film, and horizontally crystallizing the amorphous silicon thin film by forming a metal layer on an upper portion of the groove. Since a crystal shape and a growth direction of the photovoltaic device can be adjusted by the method, a poly-crystal silicon thin film for improving current flow can be formed at a low-temperature.
    Type: Application
    Filed: January 9, 2008
    Publication date: April 15, 2010
    Inventors: Jung-Heum Yun, Kwy-Ro Lee, Don-Hee Lee, Heon-Min Lee
  • Publication number: 20100084743
    Abstract: The method includes: a first step of colliding ions implanted from a surface of a SIMOX wafer into a silicon layer underneath a BOX layer against crystal defects to destroy the crystal defects; and a second step of heating the wafer obtained in the first step to recrystallize the silicon layer. If the ions to be implanted into the silicon layer are oxygen ions, then the first step initiates ion implantation with the temperature of the SIMOX wafer being 50° C. or lower, and sets an ion dose to 5×1015 atoms/cm2 to 1.5×1016 atoms/cm2 and implantation energy to 150 keV or higher but not higher than 220 keV. Consequently, crystal defects present in the silicon layer underneath the BOX layer of the SIMOX wafer are reduced.
    Type: Application
    Filed: September 1, 2009
    Publication date: April 8, 2010
    Applicant: SUMCO CORPORATION
    Inventor: Ryusuke Kasamatsu
  • Publication number: 20100087048
    Abstract: It has been difficult to manufacture a semiconductor device equipped with a microstructure having a space, an electric circuit for controlling the microstructure, and the like over one substrate. In a semiconductor device, a microstructure and an electric circuit for controlling the microstructure can be provided over one substrate by manufacturing the microstructure in such a way that a structural layer having polycrystalline silicon obtained by laser crystallization or thermal crystallization using a metal element is formed and processed at low temperature. As the electric circuit, a wireless communication circuit for carrying out wireless communication with an antenna is given.
    Type: Application
    Filed: December 11, 2009
    Publication date: April 8, 2010
    Applicant: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Konami Izumi, Mayumi Yamaguchi
  • Publication number: 20100047952
    Abstract: A fragile layer is formed in a single crystal silicon substrate, a first impurity silicon layer is formed on the one surface side in the single crystal silicon substrate, and a first electrode is formed thereover. After one surface of a supporting substrate and the first electrode are bonded, the single crystal silicon substrate is separated along the fragile layer to form a single crystal silicon layer over the supporting substrate. Crystal defect repair treatment or crystal defect elimination treatment of the single crystal silicon layer is performed; then, epitaxial growth is conducted on the single crystal silicon layer by activating a source gas containing at least a silane-based gas with plasma generated at atmospheric pressure or near atmospheric pressure. A second impurity silicon layer is formed on a surface side in the single crystal silicon layer which is epitaxial grown.
    Type: Application
    Filed: December 22, 2008
    Publication date: February 25, 2010
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Hideto Ohnuma, Takashi Hirose
  • Publication number: 20100047563
    Abstract: Silicon wafers wherein slip dislocations and warpages during device production are suppressed, contain BMDs with an octahedral shape, and of BMDs at a depth greater than 50 ?m from the surface of the wafer, the density of BMDs with diagonal size of 10 nm to 50 nm is ?1×1012/cm3, and the density of BSFs is ?1×108/cm3. The present silicon wafers preferably have an interstitial oxygen concentration of 4×1017 atoms/cm3 to 6×1017 atoms/cm3, and a density of BMDs with diagonal size of ?200 nm of not more than 1×107/cm3.
    Type: Application
    Filed: October 26, 2009
    Publication date: February 25, 2010
    Applicant: SILTRONIC AG
    Inventors: Katsuhiko Nakai, Masayuki Fukuda
  • Publication number: 20100041175
    Abstract: The invention relates to a method of purifying a crystalline silicon substrate and to a process for producing a photovoltaic cell. The method of purifying a crystalline silicon substrate according to the invention is of the type that includes a step of extracting impurities by external gettering and which includes, before said step of extracting the impurities by external gettering, at least one step of rapidly annealing the substrate at a temperature of between 750° C. and 1000° C. inclusive for a time of between 1 second and 10 minutes inclusive. The invention is particularly applicable in the photovoltaic cell field.
    Type: Application
    Filed: July 8, 2009
    Publication date: February 18, 2010
    Applicant: COMMISSARIAT A L'ENERGIE ATOMIQUE.
    Inventors: Sebastien Dubois, Nicolas Enjalbert, Remi Monna
  • Publication number: 20100038757
    Abstract: A silicon wafer produced from a silicon single crystal ingot grown by Czochralski process is subjected to rapid heating/cooling thermal process at a maximum temperature (T1) of 1300° C. or more, but less than 1380° C. in an oxidizing gas atmosphere having an oxygen partial pressure of 20% or more, but less than 100%. The silicon wafer according to the invention has, in a defect-free region (DZ layer) including at least a device active region of the silicon wafer, a high oxygen concentration region having a concentration of oxygen solid solution of 0.7×1018 atoms/cm3 or more and at the same time, the defect-free region contains interstitial silicon in supersaturated state.
    Type: Application
    Filed: July 30, 2009
    Publication date: February 18, 2010
    Inventors: Hiromichi Isogai, Takeshi Senda, Eiji Toyoda, Kumiko Murayama, Koji Izunome, Susumu Maeda, Kazuhiko Kashima, Koji Araki, Tatsuhiko Aoki, Haruo Sudo, Yoichiro Mochizuki, Akihiko Kobayashi, Senlin Fu
  • Publication number: 20100035409
    Abstract: A method for improving the minority lifetime of silicon containing wafer having metallic contaminants therein is described incorporating annealing at 1200° C. or greater and providing a gaseous ambient of oxygen, an inert gas and a chlorine containing gas such as HCl.
    Type: Application
    Filed: August 5, 2008
    Publication date: February 11, 2010
    Inventors: Joel P De Souza, Harold John Hovel, Daniel A. Inns, Devendra K. Sadana, Ghavam G. Shahidi
  • Publication number: 20100021688
    Abstract: A wafer manufacturing method includes after flattening both upper and lower surfaces of a wafer sliced from a single crystal ingot, processing the wafer having damage on both surfaces caused by the flattening, so as to obtain desired damage at least on the lower surface of the wafer, the desired damage having a damage depth ranging from 5 nm-10 ?m; forming a polysilicon layer at least on the lower surface of the wafer while the damage on the lower surface of the wafer remains; single-wafer etching the upper surface of the wafer; and final polishing the upper surface of the wafer to have a mirrored surface, after the single-wafer etching.
    Type: Application
    Filed: July 21, 2009
    Publication date: January 28, 2010
    Applicant: SUMCO CORPORATION
    Inventors: Takeo KATOH, Tomohiro HASHII, Katsuhiko MURAYAMA, Sakae KOYATA, Kazushige TAKAISHI
  • Publication number: 20100022072
    Abstract: This document discloses devices fabricated on a semiconductor substrate and methods of fabricating the same. The devices can be memory cells having a tunnel window that is defined by dry-etching oxide to expose the semiconductor substrate and growing a tunnel oxide layer on the exposed semiconductor substrate. The semiconductor substrate can be decontaminated and/or repaired by exposing the semiconductor substrate to an optical irradiated energy source having a predefined energy that is sufficient to break molecular bonds of the contaminants and exposing the semiconductor substrate to a temperature that is sufficient to recrystallize the crystal lattice of the substrate.
    Type: Application
    Filed: September 26, 2008
    Publication date: January 28, 2010
    Applicant: ATMEL CORPORATION
    Inventor: Bohumil Lojek
  • Publication number: 20100009521
    Abstract: There is provided a production method in which the beveling step conducted for preventing the cracking or chipping in a raw wafer during the grinding can be omitted when the raw wafer cut out from a crystalline ingot is processed into a double-side mirror-finished semiconductor wafer and a semiconductor wafer can be obtained cheaply by shortening the whole of the production steps for the semiconductor wafer and decreasing the machining allowance of silicon material in the semiconductor wafer to reduce the kerf loss of the semiconductor material as compared with the conventional method.
    Type: Application
    Filed: July 10, 2009
    Publication date: January 14, 2010
    Applicant: Sumco Corporation
    Inventors: Takaaki Shiota, Wataru Itou, Takashi Nakayama
  • Publication number: 20100009520
    Abstract: A wafer processing method for improving gettering capabilities of wafers made therefrom is presented. The method includes the steps of preparing, annealing and ion-implanting. The preparing step involves preparing the wafer from a silicon ingot. The annealing step involves forming first gettering sites in both sides of the wafer by annealing the wafer. The ion-implanting step involves forming second gettering sites in a back side of the wafer in which the first gettering sites are already formed.
    Type: Application
    Filed: June 26, 2009
    Publication date: January 14, 2010
    Inventors: Jeong Hoon AN, Byeong Sam MOON
  • Patent number: 7621996
    Abstract: A method for producing a silicon wafer that has a carbon concentration of 5×1015 to 5×1017 atoms/cm3, interstitial oxygen concentration of 6.5×1017 to 13.5×1017 atoms/cm3, and a resistivity of 100 ?cm or more.
    Type: Grant
    Filed: August 29, 2008
    Date of Patent: November 24, 2009
    Assignee: Sumco Corporation
    Inventor: Kazunari Kurita
  • Publication number: 20090280623
    Abstract: A semiconductor wafer is produced by irradiating a laser beam to either face of a semiconductor wafer so as to fit a focusing position into a given depth position of the semiconductor wafer to generate a multiphoton absorption process only in a specific portion of the semiconductor wafer at the given depth position to thereby form a gettering sink.
    Type: Application
    Filed: May 6, 2009
    Publication date: November 12, 2009
    Applicant: Sumco Corporation
    Inventor: Kazunari KURITA
  • Patent number: 7615823
    Abstract: The SOI substrate includes a supporting substrate, an insulating layer (first insulating layer), another insulating layer (second insulating layer), and a silicon layer (silicon active layer). On a surface of the supporting substrate, which is the surface on the side of the silicon layer, the first insulating layer is provided. On a surface of the silicon layer, which is the surface on the side of the supporting substrate, the second insulating layer is provided. The supporting substrate and the silicon layer are adhered to each other, so that the interface between the first and the second insulating layers constitutes an adhesion plane. The adhesion plane performs as a gettering site in the SOI substrate.
    Type: Grant
    Filed: November 6, 2006
    Date of Patent: November 10, 2009
    Assignee: NEC Electronics Corporation
    Inventor: Noriyuki Takao
  • Patent number: 7611970
    Abstract: A water processing method for providing a gettering sink effect to a wafer having a plurality of streets which are formed in a lattice pattern on the front surface of a substrate and devices which are formed in a plurality of areas sectioned by the plurality of streets, comprising the steps of removing distortion produced on the rear surface of the substrate of the wafer whose rear surface of the substrate has been ground to a predetermined thickness; forming a gettering sink effect layer by applying a laser beam of a wavelength having permeability for the substrate of the wafer which has undergone the distortion removing step, with its focal point set to the inside of the substrate to form a deteriorated layer in the inside of the substrate; and dividing the wafer which has undergone the gettering sink effect layer forming step, into individual chips along the streets.
    Type: Grant
    Filed: October 18, 2007
    Date of Patent: November 3, 2009
    Assignee: Disco Corporation
    Inventor: Toshiyuki Sakai
  • Patent number: 7611972
    Abstract: Semiconductor devices and methods of manufacture thereof are disclosed. A preferred embodiment comprises a method of forming an insulating material layer. The method includes forming a barrier layer and forming a rare earth element-containing material layer over the barrier layer.
    Type: Grant
    Filed: November 29, 2006
    Date of Patent: November 3, 2009
    Assignee: Qimonda North America Corp.
    Inventor: Shrinivas Govindarajan
  • Publication number: 20090261464
    Abstract: The present disclosure relates to methods of treating a silicon substrate with an ultra-fast laser to create a getter material for example in a substantially enclosed MEMS package. In an embodiment, the laser treating comprises irradiating the silicon surface with a plurality of laser pulses adding gettering microstructure to the treated surface. Semiconductor based packaged devices, e.g. MEMS, are given as examples hereof.
    Type: Application
    Filed: September 4, 2008
    Publication date: October 22, 2009
    Applicant: SIONYX, INC.
    Inventor: Susan Alie
  • Publication number: 20090256241
    Abstract: A method of manufacturing a thin silicon wafer by slicing a silicon single crystal includes: a thinning step S3 of polishing a rear surface of the silicon wafer to reduce the thickness of the silicon wafer after a device structure is formed on a front surface of the silicon wafer; a mirror surface forming step S4 of processing the rear surface of the silicon wafer into a mirror surface using a chemical mechanical polishing method; and a modifying step S5 of dispersing abrasive grains that are harder than those used to form the mirror surface in the mirror surface forming process and forming a damaged layer, serving as a gettering sink for heavy metal, on the rear surface of the silicon wafer using the chemical mechanical polishing method. The thickness T5b of the damaged layer W5b in a wafer depth direction is set by the chemical mechanical polishing method in the modifying step S5 to control the gettering capability of the damaged layer.
    Type: Application
    Filed: April 13, 2009
    Publication date: October 15, 2009
    Applicant: SUMCO CORPORATION
    Inventors: Kazunari KURITA, Shuichi OMOTE
  • Publication number: 20090253225
    Abstract: Method of processing a substrate containing at least one semiconductor of the SiXAY type and comprising at least four separate types of light elements, comprising at least the following steps: carrying out a first anneal of the substrate at a temperature T1 corresponding to a thermal activation temperature for a first one of the four types of light elements, carrying out a second anneal of the substrate at a temperature T2 corresponding to a thermal activation temperature for a second one of the four types of light elements, carrying out a third anneal of the substrate at a temperature T3 corresponding to a thermal activation temperature for a third one of the four types of light elements, carrying out a fourth anneal of the substrate at a temperature T4 corresponding to a thermal activation temperature for a fourth one of the four types of light elements, each anneal comprising a holding at the temperature T1, T2, T3 or T4 and the temperatures T1, T2, T3 and T4 being such that T1>T2>T3>T4.
    Type: Application
    Filed: March 10, 2009
    Publication date: October 8, 2009
    Applicant: COMMISSARIAT A L' ENERGIE ATOMIQUE
    Inventors: Sebastien Dubois, Nicolas Enjalbert, Remi Monna
  • Publication number: 20090252944
    Abstract: A silicon wafer is produced by subjecting a back face of a silicon wafer after the formation of a device structure to a given surface treatment so as to form a gettering sink layer having a good deflective strength.
    Type: Application
    Filed: March 24, 2009
    Publication date: October 8, 2009
    Applicant: SUMCO CORPORATION
    Inventors: Kazunari KURITA, Shuichi Omote, Naoki Ikeda
  • Publication number: 20090242843
    Abstract: A method for manufacturing a silicon wafer having a defect-free region in a surface layer, in which at least only a surface layer region to a predetermined depth from a front surface of a silicon wafer to be processed is subjected to heat treatment at a temperature of not less than 1100 degrees C. for not less than 0.01 msec to not more than 1 sec, to thereby make the surface layer defect-free. As a result of this, there is provided a method for manufacturing a silicon wafer, in which a DZ layer without generation of crystal defects from the front surface to a constant depth can be uniformly formed, and oxide precipitates having a steep profile inside the wafer can be secured and controlled with a high degree of accuracy.
    Type: Application
    Filed: May 17, 2007
    Publication date: October 1, 2009
    Applicant: SHIN-ETSU HANDOTAI CO., LTD
    Inventor: Koji Ebara
  • Publication number: 20090246939
    Abstract: A dehydrogenation treatment method which includes forming a hydrogenated amorphous silicon film above a non-heat-resistant substrate, and eliminating bonded hydrogen from the hydrogenated amorphous silicon film by irradiating an atmospheric thermal plasma discharge to the hydrogenated amorphous silicon film for a time period of 1 to 500 ms. The surface of the substrate is heated at a temperature of 1000 to 2000° C. by irradiating the atmospheric thermal plasma discharge.
    Type: Application
    Filed: March 4, 2009
    Publication date: October 1, 2009
    Inventors: Kazufumi AZUMA, Hajime Shirai
  • Publication number: 20090233420
    Abstract: This p-type silicon wafer was subjected to heat treatment to have a resistivity of 10 ?·cm or more, a BMD density of 5×107 defects/cm3 or more, and an n-type impurity concentration of 1×1014 atoms/cm3 or less at a depth of within 5 ?m from a surface of the wafer. This method for heat-treating p-type silicon wafers, the method includes the steps of: loading p-type silicon wafers onto a wafer boat, inserting into a vertical furnace, and holding in an argon gas ambient atmosphere at a temperature of 1100 to 1300° C. for one hour; moving the wafer boat to a transfer chamber and discharging the silicon wafers; and transferring to the wafer boat silicon wafers to be heat treated next, wherein after the discharge of the heat-treated silicon wafers, the silicon wafers to be heat-treated next are transferred to the wafer boat within a waiting time of less than two hours.
    Type: Application
    Filed: April 21, 2009
    Publication date: September 17, 2009
    Applicant: SUMCO CORPORATION
    Inventors: Tatsumi Kusaba, Hidehiko Okuda