Deposition Of Conductive Or Insulating Materials For Electrode (epo) Patents (Class 257/E21.477)
  • Publication number: 20100197135
    Abstract: A method for integrating metal-containing cap layers into copper (Cu) metallization of semiconductor devices. In one embodiment, the method includes providing a patterned substrate containing Cu metal surfaces and dielectric layer surfaces, forming a patterned mask layer on the patterned substrate, where the patterned mask layer contains openings that expose the Cu metal surfaces. The method further includes depositing a metal-containing layer on the Cu metal surfaces, depositing an additional metal-containing layer on the patterned mask layer, and removing the patterned mask layer and the additional metal-containing layer from the patterned substrate to selectively form metal-containing cap layers on the Cu metal surfaces.
    Type: Application
    Filed: February 2, 2009
    Publication date: August 5, 2010
    Applicant: Tokyo Electron Limited
    Inventor: Tadahiro Ishizaka
  • Publication number: 20100187643
    Abstract: A metal gate and high-k dielectric device includes a substrate, an interfacial layer on top of the substrate, a high-k dielectric layer on top of the interfacial layer, a metal film on top of the high-k dielectric layer, a cap layer on top of the metal film and a metal gate layer on top of the cap layer. The thickness of the metal film and the thickness of the cap layer are tuned such that a target concentration of a cap layer material is present at an interface of the metal film and the high-k dielectric layer.
    Type: Application
    Filed: January 26, 2009
    Publication date: July 29, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: MICHAEL P. CHUDZIK, MICHAEL A. GRIBELYUK, RASHMI JHA, RENEE T. MO, NAIM MOUMEN, KEITH KWONG HON WONG
  • Publication number: 20100181687
    Abstract: A semiconductor device includes a chip. The chip includes a single circuit element formed in a semiconductor substrate, a first metal layer on a first face of the semiconductor substrate, and a second metal layer on a second face of the semiconductor substrate opposite the first face. The first metal layer and the second metal layer are configured for accessing the single circuit element. A smaller of a first width of the first face of the semiconductor substrate and a second width of the first face of the semiconductor substrate perpendicular to the first width is less than or equal to a distance between an exposed face of the first metal layer parallel to the first face of the semiconductor substrate and an exposed face of the second metal layer parallel to the second face of the semiconductor substrate.
    Type: Application
    Filed: January 16, 2009
    Publication date: July 22, 2010
    Applicant: Infineon Technologies AG
    Inventors: Thorsten Scharf, Horst Theuss, Markus Leicht
  • Patent number: 7754596
    Abstract: A semiconductor device capable of preventing an electrical short between contacts and their adjacent contact pads and a method of manufacturing the same are provided. A first interlayer insulating layer is formed on the semiconductor substrate including the active region. Contact pads pass through the first interlayer insulating layer and contact with the active region. Contacts are formed on the contact pads and are connected to a conductive layer disposed above the contacts. The contact pads have a height lower than a top surface of the first interlayer insulating layer such that the contact pads have smaller thickness than the first interlayer insulating layer.
    Type: Grant
    Filed: March 10, 2009
    Date of Patent: July 13, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Byung-jun Park
  • Publication number: 20100171158
    Abstract: The present invention provides a method of forming a ferromagnetic material, characterized by including: forming a magnetic element layer 20 on a semiconductor layer 16 formed on an inhibition layer 14; and forming a ferromagnetic layer of a Heusler alloy layer 26 on the inhibition layer 14 by heat treatment to induce the semiconductor layer 16 and the magnetic element layer 20 to react with each other, and a transistor, and a method of manufacturing the same. According to the present invention, the inhibition layer for inhibiting a reaction of the semiconductor layer and the magnetic element layer restricts a semiconductor to be supplied for a reaction of the semiconductor and the magnetic element. Therefore, it is possible to form a ferromagnetic material having a high composition ratio of a magnetic element.
    Type: Application
    Filed: March 26, 2008
    Publication date: July 8, 2010
    Applicant: TOKYO INSTITUTE OF TECHNOLOGY
    Inventors: Satoshi Sugahara, Yota Takamura
  • Patent number: 7749901
    Abstract: A semiconductor device having a VIA hole without disconnection caused by step is achieved. A semiconductor device and its manufacturing method, the semiconductor device comprising: a semi-insulating substrate 11 in which an electrode (12) is formed on a surface (11a) of one side and in which an aperture (11c) passed through from the surface 11a of one side to a surface (11b) of another side is formed; and a conductive layer (17) formed in an inner surface of the aperture (11c), and electrically connected with the electrode (12); wherein the aperture (11c) has a tapered region (11d) where an inside diameter of a part located in the surface (11b) of another side is larger than an inside diameter of a part located in the surface (11a) of one side.
    Type: Grant
    Filed: November 28, 2007
    Date of Patent: July 6, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Ken Onodera, Kazutaka Takagi
  • Publication number: 20100155859
    Abstract: A method of self-aligned silicidation on structures having high aspect ratios involves depositing a metal oxide film using atomic layer deposition (ALD) and converting the metal oxide film to metal film in order to obtain uniform step coverage. The substrate is then annealed such that the metal in regions directly overlying the patterned and exposed silicon reacts with the silicon to form uniform metal silicide at the desired locations.
    Type: Application
    Filed: December 19, 2008
    Publication date: June 24, 2010
    Inventor: Ivo Raaijmakers
  • Publication number: 20100151676
    Abstract: Embodiments of the present invention provide methods of forming and densifying a titanium nitride barrier layer. The densification process is performed at a relatively low RF plasma power and high nitrogen to hydrogen ratio so as to provide a substantially titanium rich titanium nitride barrier layer. In one embodiment, a method for forming a titanium nitride barrier layer on a substrate includes depositing a titanium nitride layer on the substrate by a metal-organic chemical vapor deposition process, and performing a plasma treatment process on the deposited titanium nitride layer, wherein the plasma treatment process operates to densify the deposited titanium nitride layer, resulting in a densified titanium nitride layer, wherein the plasma treatment process further comprises supplying a plasma gas mixture containing a nitrogen gas to hydrogen gas ratio between about 20:1 and about 3:1, and applying less than about 500 Watts RF power to the plasma gas mixture.
    Type: Application
    Filed: December 16, 2008
    Publication date: June 17, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Alan Alexander Ritchie, Mohd Fadzil Anwar Hassan
  • Publication number: 20100144142
    Abstract: A method of manufacturing a semiconductor device, forms connection pads electrically connected to integrated circuit portion formed in a semiconductor substrate, lays an insulating film and a protective film one over another, forms sub-lines electrically connected to the connection pads on the protective film, forms a coating film covering the sub-lines and the protective film, sticks a dry film onto the coating film, forms external connection electrodes externally connectable and electrically connected to the sub-lines, and removes the dry film and forms a sealing layer covering the coating film and side surfaces of the external connection electrodes.
    Type: Application
    Filed: December 1, 2009
    Publication date: June 10, 2010
    Applicant: OKI SEMICONDUCTOR CO., LTD.
    Inventor: Katsuji Yoshida
  • Publication number: 20100130005
    Abstract: A method of fabricating a semiconductor device by filling carbon nanotubes in a recess is disclosed. The method of fabricating the semiconductor device comprises patterning a mold on a substrate, coating carbon nanotubes on an entire surface of the recess and the mold formed by the patterning, filling the carbon nanotubes coated on the an entire surface of the mold in the recess, and removing the mold.
    Type: Application
    Filed: July 31, 2006
    Publication date: May 27, 2010
    Inventors: Byung Chul Lee, Jeong Oen Lee, Yang Kyu Choi, Jun-Bo Yoon
  • Publication number: 20100120238
    Abstract: A first aspect of the present invention provides a semiconductor manufacturing apparatus including: a load lock chamber; a transfer chamber; and a treatment chamber 1 and a treatment chamber 2 which carry out treatment using plasma, wherein, in the treatment chamber 2, an exhaust means is provided with a control means for making an oxygen partial pressure into 1*10?5 [Pa] or less.
    Type: Application
    Filed: December 4, 2009
    Publication date: May 13, 2010
    Applicant: CANON ANELVA CORPORATION
    Inventors: Naomu Kitano, Takashi Minami, Motomu Kosuda, Heiji Watanabe
  • Patent number: 7713886
    Abstract: Disclosed is a film forming method using a film forming gas composed of a metal alkoxide wherein clean film formation suppressed in contamination of a target substrate to be processed is achieved by restraining aluminum or an aluminum alloy in the processing chamber from dissolving. Specifically disclosed is method for forming a thin film on a target substrate to be processed which is held in a processing chamber, and this method comprises a step for heating the target substrate and a step for supplying a film forming gas into the processing chamber. This method is characterized in that the film forming gas is composed of a metal alkoxide, the processing chamber is made of aluminum or an aluminum alloy, and a protective film composed of a nonporous anodic oxide film is formed on the inner wall surface of the processing chamber.
    Type: Grant
    Filed: April 30, 2007
    Date of Patent: May 11, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Hirokatsu Kobayashi, Tetsuya Nakano, Masato Koizumi
  • Patent number: 7713867
    Abstract: A semiconductor device includes contact plugs formed in contact holes defined in an interlayer dielectric. Upper portions of the contact plugs are etched. A first barrier layer is formed on a surface of the interlayer dielectric including the contact plugs. A second barrier layer is formed on the first barrier layer over the interlayer dielectric. The second barrier layer has lower compatibility with a metallic material than the first barrier layer. A first metal layer is formed over the first and second barrier layers. The first metal layer, the first barrier layer and the second barrier layer are then patterned.
    Type: Grant
    Filed: March 21, 2008
    Date of Patent: May 11, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventors: Seung Hee Hong, Jung Geun Kim, Eun Soo Kim
  • Patent number: 7714354
    Abstract: A method is provided for electroforming metal integrated circuit structures. The method comprises: forming an opening such as a via or line through an interlevel insulator, exposing a substrate surface; forming a base layer overlying the interlevel insulator and substrate surface; forming a strike layer overlying the base layer; forming a top layer overlying the strike layer; selectively etching to remove the top layer overlying the substrate surface, exposing a strike layer surface; and, electroforming a metal structure overlying the strike layer surface. The electroformed metal structure is deposited using an electroplating or electroless deposition process. Typically, the metal is Cu, Au, Ir, Ru, Rh, Pd, Os, Pt, or Ag. The base, strike, and top layers can be deposited using physical vapor deposition (PVD), evaporation, reactive sputtering, or metal organic chemical vapor deposition (MOCVD).
    Type: Grant
    Filed: October 30, 2007
    Date of Patent: May 11, 2010
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: David R. Evans, John W. Hartzell
  • Patent number: 7687390
    Abstract: In one embodiment of a manufacturing method of a transparent conductive film of the present invention, a grid having a magnet is placed between a target and a substrate, and a pattern shaped transparent conductive film comprising the target material is formed over the substrate through a mask by a sputtering method. In other embodiment of a manufacturing method of a transparent conductive film of the present invention, a mask is placed on a substrate, a pattern shaped transparent conductive layer comprising a target material is formed on the substrate by a sputtering method, and a trap electrode having a magnet pin is installed between the target and the substrate.
    Type: Grant
    Filed: November 30, 2006
    Date of Patent: March 30, 2010
    Assignee: Toppan Printing Co., Ltd.
    Inventor: Yutaka Kuriya
  • Publication number: 20100055828
    Abstract: For production of an electrically conductive or thermally conductive connection for contacting two elements, an elemental metal, in particular silver, is formed from a metal compound, in particular a silver compound, between the contact surfaces. In this production, the processing temperature for the use of a silver solder can be decreased below 240° C. and the processing pressure can be reduced to normal pressure. A contacting paste for this purpose contains a metal compound, in particular a silver compound, which decomposes below 400° C. while forming elemental silver. As a result, a metal is generated in situ from a chemical compound for producing a contact, which is usable above the temperature necessary for its production.
    Type: Application
    Filed: November 10, 2009
    Publication date: March 4, 2010
    Applicant: W.C. HERAEUS GMBH
    Inventors: Wolfgang SCHMITT, Tanja DICKEL, Katja STENGER
  • Publication number: 20100038778
    Abstract: A void that is created in a conductive electrode in a through hole that extends through an integrated circuit substrate can be used as a joining interface. For example, an integrated circuit structure includes an integrated circuit substrate having a conductive pad on a first face thereof, and a through hole that extends through the integrated circuit substrate from a second face of the integrated circuit substrate that is opposite to the first face and through the pad. A conductive electrode is provided in the through hole that extends from the second face to the first face through and onto the pad. The conductive electrode includes a void therein adjacent the second face. The void includes a void opening adjacent the second face that defines inner walls of the conductive electrode. A conductive material is provided in the void that directly contacts the inner walls of the conductive electrode. Related fabrication methods are also disclosed.
    Type: Application
    Filed: March 11, 2009
    Publication date: February 18, 2010
    Inventors: Kwang-Yong Lee, Sun-Won Kang, Sang-Hee Kim
  • Publication number: 20100025668
    Abstract: The present invention relates to an organic transistor comprising a conductive element which forms a drain; a conductive element which forms a source located away from the drain; a conductive element which forms a gate having a surface which faces the drain and a surface which faces the source; a semiconducting layer which is in contact with the drain and the source; and a dielectric layer located between, firstly, the gate and, secondly, the source and the drain with the dielectric layer having a dielectric permittivity which varies depending on its thickness, According to the invention, the dielectric layer comprises a layer of a first dielectric material having a dielectric permittivity of less than four in which there is formed, at least between said opposite-facing surfaces, a volume of a second material, said volume having an overall cross-section which tapers from gate towards the space between drain and source and in that the relative dielectric permittivity of the second material exceeds four.
    Type: Application
    Filed: June 24, 2009
    Publication date: February 4, 2010
    Applicant: Commissariat A L'Energie Atomique
    Inventors: Mohamed Benwadih, Christophe Serbutoviez
  • Patent number: 7656020
    Abstract: A packaging conductive structure for a semiconductor substrate and a method for forming the structure are provided. The dielectric layer of the packaging conductive structure partially overlays the metallic layer of the semiconductor substrate and has a receiving space. The lifting layer and conductive layer are formed in the receiving space, wherein the conductive layer extends for connection to a bump. The lifting layer is partially connected to the dielectric layer. As a result, the conductive layer can be stably deposited on the edge of the dielectric layer for enhancing the reliability of the packaging conductive structure.
    Type: Grant
    Filed: July 2, 2007
    Date of Patent: February 2, 2010
    Assignee: Chipmos Technologies, Inc.
    Inventor: Cheng Tang Huang
  • Patent number: 7651943
    Abstract: A method of forming an interconnect structure of an integrated circuit includes providing a semiconductor substrate; forming a dielectric layer over the semiconductor substrate; forming an opening in the dielectric layer; and forming a copper alloy seed layer in the opening. The copper alloy seed layer physically contacts the dielectric layer. The copper alloy seed layer includes copper and an alloying material. The method further includes filling a metallic material in the opening and over the copper alloy seed layer; performing a planarization to remove excess metallic material over the dielectric layer; and performing a thermal anneal to cause the alloying material in the copper alloy seed layer to be segregated from copper.
    Type: Grant
    Filed: February 18, 2008
    Date of Patent: January 26, 2010
    Assignee: Taiwan Semicondcutor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Ming Han Lee, Ming-Shih Yeh
  • Publication number: 20090321932
    Abstract: A thin die Package Substrate is described that may be produced using existing chemistry. In one example, a package substrate is built over a support material. A dry film photoresist layer is formed over the package substrate. The support material is removed from the package substrate. The dry film photoresist layer is removed from the substrate and the substrate is finished for use with a package.
    Type: Application
    Filed: June 30, 2008
    Publication date: December 31, 2009
    Inventors: Javier Soto Gonzalez, Tao Wu, Pallavi Alur, Mihir Roy, Sheng Li, Reynaldo Olmedo
  • Publication number: 20090294809
    Abstract: By protecting sidewall portions of active semiconductor regions during a silicidation process, the probability of creating nickel silicide pipes may be reduced. Consequently, yield losses caused by the shorting of PN junctions in sophisticated semiconductor devices may be reduced.
    Type: Application
    Filed: February 23, 2009
    Publication date: December 3, 2009
    Inventors: Kai Frohberg, Uwe Griebenow, Frank Feustel, Thomas Werner
  • Publication number: 20090298276
    Abstract: A first mask layer pattern including a plurality of parallel line portions is formed on an etch target layer on a semiconductor substrate. A sacrificial layer is formed on the first mask layer pattern and portions of the etch target layer between the parallel line portions of the first mask layer pattern. A second mask layer pattern is formed on the sacrificial layer, the second mask layer pattern including respective parallel lines disposed between respective adjacent ones of the parallel line portions of the first mask layer pattern, wherein adjacent line portions of the first mask layer pattern and the second mask layer pattern are separated by the sacrificial layer. A third mask layer pattern is formed including first and second portions covering respective first and second ends of the line portions of the first mask layer pattern and the second mask layer pattern and having an opening at the line portions of the first and second mask layer patterns between the first and second ends.
    Type: Application
    Filed: June 3, 2009
    Publication date: December 3, 2009
    Inventors: Young-Ho Lee, Jae-hwang Sim, Jae-kwan Park, Jong-min Lee, Mo-seok Kim, Hyon-woo Kim
  • Publication number: 20090289342
    Abstract: In an inventive semiconductor device production method, a one-side metal layer is first formed in a region located across a predetermined section line on one surface of a substrate. Further, an other-side metal layer is formed on the other surface of the substrate in a position opposed to the one-side metal layer. In turn, a continuous through-hole extending continuously through the other-side metal layer and the substrate is formed in a position located across the section line. Thereafter, a metal plating layer is formed on a surface of the other-side metal layer, an inner surface of the continuous through-hole and a portion of the one-side metal layer exposed to the continuous through-hole. Before the resulting substrate is cut into separate support boards, a portion of the other-side metal layer present on the section line and a portion of the metal plating layer present on this other-side metal layer portion are removed.
    Type: Application
    Filed: April 12, 2006
    Publication date: November 26, 2009
    Applicant: ROHM CO., LTD
    Inventors: Yasumasa Kasuya, Sadamasa Fujii
  • Patent number: 7622384
    Abstract: A method of making an electronic package which includes a circuitized substrate having at least two electrical components positioned thereon. The package includes patterns of contact sites, each for having one of the components coupled thereto. The patterns of contact sites in turn are electrically interconnected by a grouping of conductive lines which, to substantially prevent skew, are of substantially the same length. The method involves forming the line patterns in such a manner so as to reduce line skew.
    Type: Grant
    Filed: December 21, 2007
    Date of Patent: November 24, 2009
    Assignee: Endicott Interconnect Technologies, Inc.
    Inventor: Irving Memis
  • Publication number: 20090283867
    Abstract: The present invention discloses an integration structure of a semiconductor circuit and microprobe sensing elements and a method for fabricating the same. In the method of the present invention, a semiconductor circuit is fabricated on one surface of a semiconductor substrate, and the other surface of the semiconductor substrate is etched to form a microprobe structure for detect physiological signals. Next, a deposition method is used to sequentially form an electrical isolated layer and an electrical conductive layer on the microprobes. Then, an electrical conductive material is used to electrically connect the electrical conductive layer with the electrical pads of the semiconductor circuit. Thus is achieved the integration of a semiconductor circuit and microprobe sensing elements in an identical semiconductor substrate with the problem of electric electrical isolated being solved simultaneously.
    Type: Application
    Filed: March 19, 2009
    Publication date: November 19, 2009
    Inventors: Jin-Chem Chiou, Chih-Wei Chang
  • Publication number: 20090261313
    Abstract: Memory cells are described along with methods for manufacturing. A memory cell as described herein includes a bottom electrode comprising a base portion and a pillar portion on the base portion, the pillar portion having a width less than that of the base portion. A dielectric surrounds the bottom electrode and has a top surface. A memory element is overlying the bottom electrode and includes a recess portion extending from the top surface of the dielectric to contact the pillar portion of the bottom electrode, wherein the recess portion of the memory element has a width substantially equal to the width of the pillar portion of the bottom electrode. A top electrode is on the memory element.
    Type: Application
    Filed: April 22, 2008
    Publication date: October 22, 2009
    Applicants: Macronix International Co., Ltd., International Business Machines Corporation
    Inventors: Hsiang-Lan Lung, Chung Hon Lam, Min Yang, Alejandro Gabriel Schrott
  • Publication number: 20090243098
    Abstract: A first metallic diffusion barrier layer is formed on a last level metal plate exposed in an opening of a passivation layer. Optionally, a metallic adhesion promotion layer is formed on the first metallic diffusion barrier layer. An elemental metal conductive layer is formed on the metallic adhesion promotion layer, which provides a highly conductive structure that distributes current uniformly due to the higher electrical conductivity of the material than the layers above or below. A stack of the second metallic diffusion barrier layer and a wetting promotion layer is formed, on which a C4 ball is bonded. The elemental metal conductive layer distributes the current uniformly within the underbump metallurgy structure, which induces a more uniform current distribution in the C4 ball and enhanced electromigration resistance of the C4 ball.
    Type: Application
    Filed: March 25, 2008
    Publication date: October 1, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Mukta G. Farooq, Robert Hannon, Emily R. Kinser, Ian D. Melville
  • Patent number: 7592273
    Abstract: A method of forming a semiconductor device comprises providing a portion of a semiconductor device structure, wherein the portion includes a region susceptible to hydrogen incorporation due to subsequent device processing. For example, the subsequent device processing can include one or more of (i) forming a layer over the region, wherein the layer includes hydrogen and (ii) using gases containing hydrogen in a plasma for the subsequent device processing, wherein the semiconductor device is subject to an undesirable device characteristic alteration by hydrogen incorporation into the region. The method further comprises forming a hydrogen barrier layer overlying the region, wherein the hydrogen barrier layer prevents substantial migration of hydrogen made available due to the subsequent device processing into the underlying region. The method further includes performing the subsequent device processing.
    Type: Grant
    Filed: April 19, 2007
    Date of Patent: September 22, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Stanley M. Filipiak, Zhi-Xiong Jiang, Mehul D. Shroff
  • Patent number: 7589020
    Abstract: Embodiments of the invention describe TiN deposition methods suitable for high volume manufacturing of semiconductor devices on large patterned substrates (wafers). One embodiment describes a chemical vapor deposition (CVD) process using high gas flow rate of a tetrakis(ethylmethylamino) titanium (TEMAT) precursor vapor along with an inert carrier gas at a low process chamber pressure that provides high deposition rate of conformal TiN films with good step coverage in surface reaction limited regime. Other embodiments describe cyclical TiN deposition methods using TEMAT precursor vapor and a nitrogen precursor.
    Type: Grant
    Filed: May 2, 2007
    Date of Patent: September 15, 2009
    Assignee: Tokyo Electron Limited
    Inventor: Toshio Hasegawa
  • Patent number: 7585736
    Abstract: A method of manufacturing a semiconductor device includes steps (a) to (d). The step (a) is a step of forming a first insulating film and a nitride film on a semiconductor substrate in this order. The step (b) is a step of removing said first insulating film and said nitride film in a first region while leaving said first insulating film and said nitride film in a second region. The step (c) is a step of forming a second insulating film on said semiconductor substrate in said first region. Here, a thickness of said second insulating film is different from that of said first insulating film. A third insulating film is formed on said nitride film in said second region along with the formation of said second insulating film. The step (d) is a step of removing said third insulating film and said nitride film in said second region.
    Type: Grant
    Filed: March 16, 2007
    Date of Patent: September 8, 2009
    Assignee: Elpida Memory, Inc.
    Inventor: Yoichi Fukushima
  • Publication number: 20090218567
    Abstract: A method for making a semiconductor device (10) includes providing an interconnect layer (14) over an underlying layer (12), forming a first insulating layer (16) over the interconnect layer, and forming an opening (18) through the insulating layer to the interconnect layer. A first conductive layer (24) is formed over the interconnect layer and in the opening. This is performed by plating so it is selective. A second conductive layer (28) in the opening is formed by displacement by immersion. This is performed after the first conductive layer has been formed. The result is the second conductive layer is formed by a selective deposition and is effective for providing it with bridging material. A layer of bridgeable material (34) is formed over the second conductive layer and in the opening. A third conductive layer (42) is formed over the bridgeable material. The semiconductor device may be useable as a conductive bridge memory device.
    Type: Application
    Filed: February 29, 2008
    Publication date: September 3, 2009
    Inventors: Varughese Mathew, Sam S. Garcia, Tushar P. Merchant
  • Patent number: 7579276
    Abstract: To prevent particles from generating by reducing a contact-gas area and improve a purge efficiency by reducing a flow passage capacity.
    Type: Grant
    Filed: October 14, 2005
    Date of Patent: August 25, 2009
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Hideharu Itatani, Hidehiro Yanai, Sadayoshi Horii, Atsushi Sano
  • Publication number: 20090209096
    Abstract: A method for manufacturing a semiconductor device includes the steps of forming an insulation layer having a contact hole, on a semiconductor substrate, forming a Co layer on the insulation layer including a surface of the contact hole, conducting primary annealing to allow the Co layer and a portion of the semiconductor substrate to react with each other such that a CoSi layer is formed at an interface therebetween. The resultant semiconductor substrate is cleaned to remove a portion of the Co layer not having reacted in the primary annealing. A barrier layer is formed on the insulation layer, the CoSi layer, and the surface of the contact hole. A secondary annealing is conducted to convert the CoSi layer into a CoSi2 layer.
    Type: Application
    Filed: December 30, 2008
    Publication date: August 20, 2009
    Inventors: Nam Yeal LEE, Seung Jin YEOM, Baek Mann KIM, Dong Ha JUNG
  • Patent number: 7576011
    Abstract: A method of forming a contact plug in a semiconductor device includes the steps of forming a plurality of select lines and a plurality of word lines on a semiconductor substrate; forming a first etching stop layer on the select lines and the word lines; forming a second etching stop layer on the first etching stop layer; forming an insulating layer on the second etching stop layer; removing the insulating layer placed between the select lines, the second etching stop layer and the first etching stop layer to form a contact hole through which a portion of the semiconductor substrate is exposed; and filling the contact hole with conductive material to form a contact plug, and so the nitride layer is thinly formed and the high dielectric layer is then formed to form the etching stop layer. Due to the above, a layer stress caused by the nitride layer can be minimized, and it is possible to resolve a problem of exposing the semiconductor substrate caused by a damage of the etching stop layer.
    Type: Grant
    Filed: May 11, 2007
    Date of Patent: August 18, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Chan Sun Hyun
  • Publication number: 20090203207
    Abstract: A contact hole, after hole etching, is subjected to light etching using a process gas containing a fluorocarbon-based gas and oxygen, with the oxygen being enriched, under condition without applying bias. Then, reaction products (5) having C—F bond and adhered to an interior of a hole (3) are removed using plasma treatment. After that, deposits (4) that have been left at a hole bottom are removed by wet processing. Then, a conductive material is buried in the hole to form a contact plug (7).
    Type: Application
    Filed: February 5, 2009
    Publication date: August 13, 2009
    Applicant: Elpida Memory, Inc.
    Inventor: Tomohiko Doi
  • Publication number: 20090203201
    Abstract: A method for fabricating a semiconductor device includes forming a dielectric film containing a porogen material above a substrate; removing a portion of the porogen material contained in the dielectric film so as to make a concentration of the porogen material higher in a part on a lower side of the dielectric film than in another part on a higher side of the dielectric film; forming an opening halfway in the dielectric film from which a portion of the porogen material has been removed to leave the dielectric film below a bottom of the opening; removing or polymerizing a remainder of the porogen material contained in the dielectric film; and etching the bottom of the opening after removing or polymerizing the remainder of the porogen material.
    Type: Application
    Filed: February 12, 2009
    Publication date: August 13, 2009
    Inventors: Hideaki MASUDA, Hideshi MIYAJIMA, Toshiaki IDAKA
  • Publication number: 20090191707
    Abstract: To provide a technique capable of improving the reliability of a semiconductor element and its product yield by reducing the variations in the electrical characteristic of a metal silicide layer. After forming a nickel-platinum alloy film over a semiconductor substrate 1, by carrying out a first thermal treatment at a thermal treatment temperature of 210 to 310° C. using a heater heating device, the technique causes the nickel-platinum alloy film and silicon to react with each other to form a platinum-added nickel silicide layer in a (PtNi)2Si phase. Subsequently, after removing the unreacted nickel-platinum alloy film, the technique carries out a second thermal treatment having the thermal treatment temperature higher than that of the first thermal treatment to form the platinum-added nickel silicide layer in a PtNiSi phase. The temperature rise rate of the first thermal treatment is set to 10° C./s or more (for example, 30 to 250° C.
    Type: Application
    Filed: January 23, 2009
    Publication date: July 30, 2009
    Inventors: Shigenari OKADA, Takuya Futase, Yutaka Inaba
  • Publication number: 20090189182
    Abstract: The invention relates to a high-frequency integrated circuit requiring ESD protection for a circuit node. One or more metallic layer is deposited within the integrated circuit and patterned to form a transmission line. The metallic layers are generally already present in the integrated circuit for signal routing. The transmission line is coupled between the circuit node and a terminal of an ESD protection device, with a transmission line return conductor coupled to a high-frequency ground. The transmission line is formed with an electrical length that transforms the impedance of the ESD protection device substantially into an open circuit at the circuit node at an operational frequency of the integrated circuit. The other terminal of the ESD protection device is coupled to the high-frequency ground.
    Type: Application
    Filed: January 25, 2008
    Publication date: July 30, 2009
    Inventors: Uwe Hodel, Wolfgang Soldner
  • Publication number: 20090181533
    Abstract: A method is provided for the making of interconnect solder bumps on a wafer or other electronic device. The method is particularly useful for the well-known C4NP interconnect technology and determines if any off-set resulted between the solder mold array and the wafer capture array during the transfer process. The amount of off-set enables the operator to adjust the transfer tool before solder transfer to compensate for the off-set caused by the transfer process and provides a more cost-effective and efficient solder transfer process. A solder reactive material surrounding the capture pads is used to determine where the solder reacts with the solder reactive material showing the off-set resulting from the transfer process. Copper is a preferred solder reactive material.
    Type: Application
    Filed: December 12, 2007
    Publication date: July 16, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Jerry A. Gorrell, Sarah H. Knickerbocker, Srinivasa S.N. Reddy
  • Publication number: 20090179261
    Abstract: Provided is a manufacturing method of a semiconductor device wherein the generation of voids is prevented in aluminum-based electrodes or the like. The method is suitable for manufacturing a semiconductor device adapted for vehicles, which is required to have a high reliability. However, it is very difficult that power semiconductor devices such as power MOSFETs, in particular, trench gate type power MOS devices are formed without having any void since the thickness of aluminum-based electrodes thereof is as large as about 3500 to 5500 nm (2.5 ?m or more). In the present invention, a method is provided wherein at the time of forming an aluminum-based electrode metal film positioned over a wafer and having a thickness of 2.5 ?m or more over a highland/lowland-repeated region in a line and space form by sputtering, the temperature of the wafer is set to 400° C. or higher and lower than 500° C.
    Type: Application
    Filed: January 9, 2009
    Publication date: July 16, 2009
    Inventors: Kazuya SEKIGUCHI, Yoshio Fukayama, Yuji Takahashi, Tomokuni Chino, Tsuyoshi Kachi, Katsuhiro Mitsui, Daisuke Ono, Tatsuhiko Miura
  • Publication number: 20090181536
    Abstract: In a method of manufacturing a semiconductor device which method is made up of a process of forming a wiring groove using a hard mask, a metal hard mask 107 is used to form a wiring groove 111, allowing the shape of the wiring groove 111 to be stabilized. Furthermore, a part or all of the metal hard mask 107 is removed before the formation of TaN and Cu layers in the wiring groove 111. This enables a reduction in possible damage, which may increase the dielectric constant of the surface of low-dielectric-constant film, and thus in possible inter-wire leakage current. As a result, a reliable semiconductor device can be provided.
    Type: Application
    Filed: March 6, 2009
    Publication date: July 16, 2009
    Applicant: PANASONIC CORPORATION
    Inventor: Makoto Tsutsue
  • Publication number: 20090176360
    Abstract: A method for processing a substrate is provided which includes applying fluid onto a surface of the substrate from a portion of a plurality of inlets and removing at least the fluid from the surface of the substrate where the removing being processed as the fluid is applied to the surface. The applying the fluid and the removing the fluid forms a segment of a fluid meniscus on the surface of the substrate.
    Type: Application
    Filed: March 13, 2009
    Publication date: July 9, 2009
    Applicant: Lam Research Corp.
    Inventor: James P. Garcia
  • Publication number: 20090176361
    Abstract: A semiconductor device capable of preventing an electrical short between contacts and their adjacent contact pads and a method of manufacturing the same are provided. A first interlayer insulating layer is formed on the semiconductor substrate including the active region. Contact pads pass through the first interlayer insulating layer and contact with the active region. Contacts are formed on the contact pads and are connected to a conductive layer disposed above the contacts. The contact pads have a height lower than a top surface of the first interlayer insulating layer such that the contact pads have smaller thickness than the first interlayer insulating layer.
    Type: Application
    Filed: March 10, 2009
    Publication date: July 9, 2009
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: Byung-jun Park
  • Publication number: 20090176363
    Abstract: In an etching composition for an under-bump metallurgy (UBM) layer and a method of forming a bump structure, the etching composition includes about 40% by weight to about 90% by weight of hydrogen peroxide (H2O2), about 1% by weight to about 20% by weight of an aqueous basic solution including ammonium hydroxide (NH4OH) or tetraalkylammonium hydroxide, about 0.01% by weight to about 10% by weight of an alcohol compound, and about 2% by weight to 30% by weight of an ethylenediamine-based chelating agent. The etching composition may effectively etch the UBM layer including titanium or titanium tungsten and remove impurities. A method of forming a bump structure may employ such an etching composition.
    Type: Application
    Filed: November 21, 2008
    Publication date: July 9, 2009
    Inventors: Dong-Min Kang, Bo-Ram Kang, Young-Nam Kim, Young-Sam Lim
  • Publication number: 20090170272
    Abstract: A semiconductor device includes a first insulating layer, a capacitor, an adhesive layer, and an intermediate layer. The first insulating layer may include a first insulating film. The first insulating layered structure has a first hole. The capacitor is disposed in the first hole. The capacitor may include bottom and top electrodes and a capacitive insulating film. The capacitive insulating film is sandwiched between the bottom and top electrodes. The adhesive layer contacts with the bottom electrode. The adhesive layer has adhesiveness to the bottom electrode. The intermediate layer is interposed between the adhesive layer and the first insulating film. The intermediate layer contacts with the adhesive layer and with the first insulating film. The intermediate layer has adhesiveness to the adhesive layer and to the first insulating film.
    Type: Application
    Filed: March 9, 2009
    Publication date: July 2, 2009
    Applicant: Elpida Memory,Inc.
    Inventor: Yoshitaka NAKAMURA
  • Publication number: 20090170303
    Abstract: Methods for forming a gate using quantum dots are disclosed. More particularly, the present invention relates to a method for forming quantum dots for fabrication of an ultrafine semiconductor device comprising a gate with quantum dots. The present invention is capable of forming quantum dots in uniform sizes and at uniform intervals so as to achieve an electrically stable device.
    Type: Application
    Filed: June 27, 2008
    Publication date: July 2, 2009
    Applicant: DONGBU HITEK CO., LTD.
    Inventor: Jea Hee Kim
  • Publication number: 20090170304
    Abstract: A method of manufacturing a semiconductor device is provided, which can reduce the contact resistance of an ohmic electrode to a p-type nitride semiconductor layer and can achieve long-term stable operation. In forming, in an electrode forming step, a p-type ohmic electrode of a metal film by successive lamination of a Pd film which is a first p-type ohmic electrode and a Ta film which is a second p-type ohmic electrode on a p-type GaN contact layer, the metal film is formed to include an oxygen atom. In the presence of an oxygen atom in the metal film, then in a heat-treatment step, the p-type ohmic electrode of the metal film is heat-treated in an atmosphere that contains no oxygen atom-containing gas.
    Type: Application
    Filed: December 18, 2008
    Publication date: July 2, 2009
    Applicant: MITSUBISHI ELECTRIC CORPORATION
    Inventors: Yoichiro Tarui, Kenichi Ohtsuka, Yosuke Suzuki, Katsuomi Shiozawa, Kyozo Kanamoto, Toshiyuki Oishi, Yasunori Tokuda, Tatsuo Omori
  • Publication number: 20090166863
    Abstract: A semiconductor-device manufacturing method includes: forming terminals on a wafer and across each of dicing lines along which the wafer is cut into a plurality of semiconductor chips; preparing a plurality of pre-cut substrates each including a substrate body capable of being cut along corresponding one of cutting lines into a pair of same structured substrate pieces, connection pads provided on a top surface of the substrate body, and external terminals formed on a bottom surface of the substrate body and connected to the connection pads; mounting the pre-cut substrates onto the wafer while the cutting lines of the pre-cut substrates match the dicing lines; and simultaneously dicing the wafer and the pre-cut substrates along the dicing lines matching the cutting lines.
    Type: Application
    Filed: December 18, 2008
    Publication date: July 2, 2009
    Inventors: Mitsuhisa Watanabe, Fumitomo Watanabe
  • Publication number: 20090160034
    Abstract: The invention provides a mesa semiconductor device and a method of manufacturing the same which minimize the manufacturing cost and prevents contamination and physical damage of the device. An N? type semiconductor layer is formed on a front surface of a semiconductor substrate, and a P type semiconductor layer is formed thereon. An anode electrode is further formed on the P type semiconductor layer so as to be connected to the P type semiconductor layer, and a mesa groove is formed from the front surface of the P type semiconductor layer deeper than the N? type semiconductor layer so as to surround the anode electrode. Then, a second insulation film is formed from inside the mesa groove onto the end portion of the anode electrode. The second insulation film is made of an organic insulator such as polyimide type resin or the like. The lamination body made of the semiconductor substrate and the layers laminated thereon is then diced along a scribe line.
    Type: Application
    Filed: December 18, 2008
    Publication date: June 25, 2009
    Applicants: SANYO Electric Co., Ltd., SANYO Semiconductor Co., Ltd., SANYO Semiconductor Manufacturing Co., Ltd.
    Inventors: Akira Suzuki, Katsuyuki Seki, Keita Odajima