Chemical Or Electrical Treatment, E.g., Electrolytic Etching (epo) Patents (Class 257/E21.485)
  • Publication number: 20100221915
    Abstract: A method and apparatus for semiconductor processing is disclosed. In one embodiment, a method of transporting a wafer within a cluster tool, comprises placing the wafer into a first segment of a vacuum enclosure, the vacuum enclosure being attached to a processing chamber and a factory interface. The wafer is transported to a second segment of the vacuum enclosure using a vertical transport mechanism, wherein the second segment is above or below the first segment.
    Type: Application
    Filed: May 7, 2010
    Publication date: September 2, 2010
    Inventors: J.B. Price, Jed Keller, Laurence Dulmage, David Cheng
  • Publication number: 20100221895
    Abstract: HF-originated radicals generated in a plasma-forming chamber are fed to a treatment chamber via feed holes, while HF gas molecules as the treatment gas are supplied to the treatment chamber from near the radical feed holes to suppress the excitation energy, thereby increasing the selectivity to Si to remove a native oxide film. Even with the dry-treatment, the surface treatment provides good surface flatness equivalent to that obtained by the wet-cleaning which requires high-temperature treatment, and further attains growth of Si single crystal film on the substrate after the surface treatment. The surface of formed Si single crystal film has small quantity of impurities of oxygen, carbon, and the like. After sputtering Hf and the like onto the surface of the grown Si single crystal film, oxidation and nitrification are applied thereto to form a dielectric insulation film such as HfO thereon, thus forming a metal electrode film.
    Type: Application
    Filed: April 21, 2010
    Publication date: September 2, 2010
    Applicant: CANON ANELVA CORPORATION
    Inventors: Takuya Seino, Manabu Ikemoto, Kimiko Mashimo
  • Publication number: 20100216263
    Abstract: Method and apparatus for measuring process parameters of a plasma etch process. A method for detecting at least one process parameter of a plasma etch process being performed on a semiconductor wafer. The method comprises the steps of detecting light being generated from the plasma during the etch process, filtering the detected light to extract modulated light; and processing the detected modulated light to determine at least one process parameter of the etch process.
    Type: Application
    Filed: January 31, 2008
    Publication date: August 26, 2010
    Applicant: LEXAS RESEARCH, LTD.
    Inventors: Stephen Daniels, Shane Glynn, Felipe Soberon, Maria Tipaka
  • Publication number: 20100216313
    Abstract: Disclosed is a plasma processing device that provides an object to be treated with plasma treatment. A wafer as an object to be treated, which is attached on the upper surface of adhesive sheet held by a holder frame, is mounted on a stage. In a vacuum chamber that covers the stage therein, plasma is generated, by which the wafer mounted on the stage undergoes plasma treatment. The plasma processing device contains a cover member made of dielectric material. During the plasma treatment on the wafer, the holder frame is covered with a cover member placed at a predetermined position above the stage, at the same time, the wafer is exposed from an opening formed in the center of the cover member.
    Type: Application
    Filed: October 9, 2008
    Publication date: August 26, 2010
    Applicant: Panasonic Corproation
    Inventor: Tetsuhiro Iwai
  • Publication number: 20100216259
    Abstract: A wet processing system detects a globule of a process solution in a drippy or dripping state from the tip of any one of process solution pouring nozzles being moved to a pouring position for pouring the process solution onto a substrate by obtaining image data on the process solution pouring nozzle, and takes proper measures to prevent the process solution from dripping. A wet processing system 1 pours a process solution, such as a resist solution, through one of process solution pouring nozzles 10 onto a surface of a substrate, such as a wafer W, held substantially horizontally by a substrate holding device 41 surrounded by a cup 5 to process the surface by a wet process. A nozzle carrying mechanism 10a carries the process solution pouring nozzles 10 between a home position on a nozzle bath 14 and a pouring position above the substrate held by the substrate holding device 41. An optical image of the tips of the process solution pouring nozzles 10 is obtained by an image pickup means, such as a camera 17.
    Type: Application
    Filed: May 4, 2010
    Publication date: August 26, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Tsunenaga Nakashima, Michio Kinoshita, Kousuke Nakamichi
  • Publication number: 20100206481
    Abstract: Disclosed is an apparatus for wet treatment of a plate-like article, which includes: a spin chuck for holding and rotating the plate-like article including an element for holding the plate-like article at the plate-like article's edge and a gas supply element for directing gas towards the side of the plate-like article, which faces the spin chuck, wherein the gas supply element includes a gas nozzle rotating with the spin chuck, for providing a gas cushion between the plate-like article and the spin chuck; a fluid supply element for directing fluid onto the side of the plate-like article, which is facing the spin chuck, through a non-rotatable fluid nozzle.
    Type: Application
    Filed: August 7, 2008
    Publication date: August 19, 2010
    Applicant: SEZ AG
    Inventors: Markus Gigacher, Michael Brugger
  • Publication number: 20100206845
    Abstract: The invention provides a plasma processing apparatus and a method for purging the apparatus, capable of preventing damage of components caused by pressure difference during purging operation of a vacuum reactor, and capable of preventing residual processing gas from remaining in the vacuum reactor. Inert gas is introduced through an inert gas feed port 233 on a side wall of a depressurized processing chamber (V1) 226 of a plasma processing apparatus, and the interior of the processing chamber (V1) 226 is brought to predetermined pressure by the inert gas, and thereafter, the inert gas is supplied to processing gas supply paths 213 and 216 (V2) communicated to a plurality of through holes 224 for introducing processing gas, so as to introduce the inert gas through the plurality of through holes 224 into the processing chamber (V1) 226.
    Type: Application
    Filed: March 4, 2009
    Publication date: August 19, 2010
    Inventors: Takahisa Hashimoto, Hideki Kihara, Muneo Furuse
  • Patent number: 7776713
    Abstract: An etching solution, a method of surface modification of a semiconductor substrate and a method of forming shallow trench isolation are provided. The etching solution is used for surface modifying the semiconductor substrate. The etching solution includes an oxidant and an oxide remover. The semiconductor substrate is oxidized to a semiconductor oxide by the oxidant, and the oxide remover subtracts the semiconductor oxide.
    Type: Grant
    Filed: May 30, 2007
    Date of Patent: August 17, 2010
    Assignee: Macronix International Co., Ltd.
    Inventors: Chia-Wei Wu, Jung-Yu Shieh, Ling-Wu Yang
  • Publication number: 20100190343
    Abstract: A load lock includes a chamber including an upper portion, a lower portion, and a partition between the upper portion and the lower portion, the partition including an opening therethrough. The load lock further includes a first port in communication with the upper portion of the chamber and a second port in communication with the lower portion of the chamber. The load lock includes a rack disposed within the chamber and a workpiece holder mounted on a first surface of the rack, wherein the rack and the workpiece holder are movable by an indexer that is capable of selectively moving wafer slots of the rack into communication with the second port. The indexer can also move the rack into an uppermost position, at which the first surface of the boat and the partition sealingly separate the upper portion and the lower portion to define an upper chamber and a lower chamber. Auxiliary processing, such as wafer pre-cleaning, or metrology can be conducted in the upper portion.
    Type: Application
    Filed: January 27, 2010
    Publication date: July 29, 2010
    Applicant: ASM AMERICA, INC.
    Inventors: Ravinder Aggarwal, Jeroen Stoutjesdijk, Eric Hill, Loring G. Davis, John T. DiSanto
  • Publication number: 20100190348
    Abstract: A first processing gas containing a first element and a second processing gas containing a second element are alternately supplied to a surface of a substrate placed in a processing chamber, to thereby form a first thin film, and a second processing gas and a third processing containing the first element and different from the first processing gas are alternately supplied, to thereby form a second thin film on the first thin film, having the same element component as that of the first thin film.
    Type: Application
    Filed: January 7, 2010
    Publication date: July 29, 2010
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Naonori Akae, Yoshiro Hirose, Tomohide Kato
  • Publication number: 20100190341
    Abstract: Provided are an apparatus and method for depositing a thin film, and a method for gap-filling a trench in a semiconductor device. The thin film depositing apparatus includes a plurality of substrates provided on the same space inside a reactor, wherein deposition of the thin film and partial etching of the deposited thin film are repeated to form the thin film on the plurality of substrates by exposing the substrates to two or more source gases and an etching gas supplied together at predetermined time intervals while rotating the substrates. According to exemplary embodiments, it is possible to concurrently or alternatively perform deposition and etching of a thin film, so that a thin film with good gap-fill capability can be deposited.
    Type: Application
    Filed: July 14, 2008
    Publication date: July 29, 2010
    Applicant: IPS LTD.
    Inventors: Sang-Jun Park, Chang-Hee Han, Ho-Young Lee, Seong-Hoe Jeong
  • Publication number: 20100190349
    Abstract: A method for preventing the formation of contaminating polymeric films on the backsides of semiconductor substrates includes providing an oxygen-impregnated focus ring and/or an oxygen-impregnated chuck that releases oxygen during etching operations. The method further provides delivering oxygen gas to the substrate by mixing oxygen in the cooling gas mixture, maintaining the focus ring at a temperature no greater than the substrate temperature during etching and cleaning the substrate using a two step plasma cleaning sequence that includes suspending the substrate above the chuck.
    Type: Application
    Filed: March 30, 2010
    Publication date: July 29, 2010
    Inventors: Huang-Ming Chen, Chun-Li Chou, Chao-Cheng Chen, Hun-Jan Tao
  • Publication number: 20100184298
    Abstract: A showerhead electrode for a plasma processing apparatus includes an interface gel between facing surfaces of an electrode plate and a backing plate. The interface gel maintains thermal conductivity during lateral displacements generated during temperature cycling due to mismatch in coefficients of thermal expansion. The interface gel comprises, for example, a silicone based composite filled with aluminum oxide microspheres. The interface gel can conform to irregularly shaped features and maximize surface contact area between mating surfaces. The interface gel can be pre-applied to a consumable upper electrode.
    Type: Application
    Filed: August 15, 2008
    Publication date: July 22, 2010
    Applicant: Lam Research Corporation
    Inventor: Rajinder Dhindsa
  • Publication number: 20100184299
    Abstract: There is provided a substrate processing method to suppress popping while increasing the throughput in a photoresist removing process. The substrate processing method comprises: loading a substrate, which is coated with photoresist into which a dopant is introduced, into a process chamber; heating the substrate; supplying a reaction gas to the process chamber, wherein the reaction gas contains at least oxygen and hydrogen components, and concentration of the hydrogen component ranges from 60% to 70%; and processing the substrate in a state where the reaction gas is excited into plasma. In the heating of the substrate, the substrate may be heated to 220° C. to 300° C. In the heating of the substrate, the substrate may be heated to 250° C. to 300° C.
    Type: Application
    Filed: December 7, 2009
    Publication date: July 22, 2010
    Applicant: Hitachi-Kokusai Electric Inc.
    Inventor: Hironori TAKAHASHI
  • Publication number: 20100178775
    Abstract: A shower plate is disposed in a processing chamber in a plasma processing apparatus, and plasma excitation gas is released into the processing chamber so as to generate plasma. A ceramic member having a plurality of gas release holes having a diameter of 20 ?m to 70 ?m, and/or a porous gas-communicating body having pores having a maximum diameter of not more than 75 ?m communicating in the gas-communicating direction are sintered and bonded integrally with the inside of each of a plurality of vertical holes which act as release paths for the plasma excitation gas.
    Type: Application
    Filed: September 26, 2007
    Publication date: July 15, 2010
    Applicants: TOKYO ELECTRON LIMITED, NATIONAL UNIVERSITY CORPORATION TOHOKU UNIVERSITY
    Inventors: Masahiro Okesaku, Tadahiro Ohmi, Tetsuya Goto, Takaaki Matsuoka, Toshihisa Nozawa, Atsutoshi Inokuchi, Kiyotaka Ishibashi
  • Publication number: 20100178774
    Abstract: Plasma confinement rings are adapted to reach sufficiently high temperatures on plasma-exposed surfaces of the rings to substantially reduce polymer deposition on those surfaces. The plasma confinement rings include an RF lossy material effective to enhance heating at portions of the rings. A low-emissivity material can be provided on a portion of the plasma confinement ring assembly to enhance heating effects.
    Type: Application
    Filed: March 24, 2010
    Publication date: July 15, 2010
    Applicant: Lam Research Corporation
    Inventor: James H. Rogers
  • Publication number: 20100151687
    Abstract: A plasma processing apparatus includes a heater in thermal contact with a showerhead electrode, and a temperature controlled top plate in thermal contact with the heater to maintain a desired temperature of the showerhead electrode during semiconductor substrate processing. A gas distribution member supplies a process gas and radio frequency (RF) power to the showerhead electrode.
    Type: Application
    Filed: February 22, 2010
    Publication date: June 17, 2010
    Applicant: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Eric Lenz
  • Publication number: 20100144118
    Abstract: A system and method for stacking semiconductor dies is disclosed. A preferred embodiment comprises forming through-silicon vias through the wafer, protecting a rim edge of the wafer, and then removing the unprotected portions so that the rim edge has a greater thickness than the thinned wafer. This thickness helps the fragile wafer survive further transport and process steps. The rim edge is then preferably removed during singulation of the individual dies from the wafer.
    Type: Application
    Filed: December 8, 2008
    Publication date: June 10, 2010
    Inventors: Ku-Feng Yang, Weng-Jin Wu, Wen-Chih Chiou, Chen-Hua Yu
  • Publication number: 20100132891
    Abstract: A disclosed valve comprises a first valve body including first and second openings that permit gaseous communication between a chamber and an evacuation apparatus; a sealing valve element that moves near/away from the second opening to open/close the second opening; a sealing member provided in the sealing valve element to seal the second opening when the sealing valve element closes the second opening; a valve element retreat area that is provided in an inner wall of the first valve body away from the second opening, and shields the sealing member from an inside of the first valve body when the sealing valve element is moved to the valve element retreat area; and a first pivot shaft that pivots the sealing valve element so that the sealing valve element may be located in one of the second opening and the valve element retreat area.
    Type: Application
    Filed: April 30, 2008
    Publication date: June 3, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Toshihisa Nozawa
  • Publication number: 20100132611
    Abstract: A substrate processing system is provided, in which collection data transmitted from a substrate processing apparatus can be easily used. A substrate processing system includes a substrate processing apparatus, and a group control apparatus connected to the substrate processing apparatus, wherein the group control apparatus comprises accumulation means for accumulating collection data transmitted from the substrate processing apparatus, storage part for correlating hardware information of components configuring the substrate processing apparatus with previously set element name information and then storing such correlated information, and a memory for correlating the hardware information with the collection data transmitted from the substrate processing apparatus and then memorizing such correlated information.
    Type: Application
    Filed: March 20, 2007
    Publication date: June 3, 2010
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventor: Yoshitaka Koyama
  • Publication number: 20100130024
    Abstract: Provided are a method of manufacturing a semiconductor device and a substrate processing apparatus. The method includes: forming a first layer including a first element on a substrate by supplying a gas containing the first element; forming a second layer including first and second elements by supplying a gas containing the second element to modify the first layer; and forming a thin film having a predetermined thickness by setting the forming of the first layer and the forming of the second layer to one cycle and repeating the cycle at least once. Pressure, or pressure and a gas supply time in one process of the forming of the first layer and the forming of the second layer are controlled to be higher or longer, or lower or shorter than pressure, or pressure and a time in the one process when the thin film having a stoichiometric composition is formed.
    Type: Application
    Filed: November 25, 2009
    Publication date: May 27, 2010
    Applicant: HITACHI-KOKUSAI ELECTRIC INC.
    Inventors: Yushin TAKASAWA, Hajime Karasawa, Yoshiro Hirose
  • Publication number: 20100130020
    Abstract: A substrate chucking member includes a substrate supporting member and a rotation adjustment unit. The supporting member includes a rotatable supporting plate to load a substrate, and chucking pins disposed at the supporting plate for spacing the substrate off the top of the supporting plate by supporting the edge of the substrate from a side of the substrate. Each of the chucking pins is rotatable for rotating the substrate supported on the chucking pins. The rotation adjustment unit is disposed under the supporting plate for adjusting rotation of the chucking pins. During a process, since a substrate is rotated by the chucking pins to vary points of the substrate making contact with the chucking pins, positions of the substrate where a process liquid falls after colliding with the chucking pins can be continuously varied. Therefore, the substrate can be processed without defects at an end part of the substrate.
    Type: Application
    Filed: November 25, 2009
    Publication date: May 27, 2010
    Inventors: Bong Joo Kim, Taek Youb Lee
  • Publication number: 20100122772
    Abstract: Provided is a substrate treatment apparatus that treats a surface of a substrate while rotating the substrate. The substrate treatment apparatus includes: a physical tool unit including a physical tool configured to treat the surface of the substrate; a nozzle unit including a liquid supply nozzle configured to supply a liquid to the surface of the substrate and a gas supply nozzle configured to supply a gas to the surface of the substrate; a physical-tool-unit moving mechanism configured to move the physical tool unit along the surface of the substrate; and a nozzle-unit moving mechanism configured to move the nozzle unit along the surface of the substrate.
    Type: Application
    Filed: November 19, 2009
    Publication date: May 20, 2010
    Applicant: SHIBAURA MECHATRONICS CORPORATION
    Inventor: Konosuke HAYASHI
  • Patent number: 7718532
    Abstract: According to the present invention, high-k film can be etched to provide a desired geometry without damaging the silicon underlying material. A silicon oxide film 52 is formed on a silicon substrate 50 by thermal oxidation, and a high dielectric constant insulating film 54 comprising HfSiOx is formed thereon. Thereafter, polycrystalline silicon layer 56 and high dielectric constant insulating film 54 are selectively removed in stages by a dry etching through a mask of the resist layer 58, and subsequently, the residual portion of the high dielectric constant insulating film 54 and the silicon oxide film 52 are selectively removed by wet etching through a mask of polycrystalline silicon layer 56. A liquid mixture of phosphoric acid and sulfuric acid is employed for the etchant solution. The temperature of the etchant solution is preferably equal to or lower than 200 degree C., and more preferably equal to or less than 180 degree C.
    Type: Grant
    Filed: January 31, 2007
    Date of Patent: May 18, 2010
    Assignees: NEC Electronics Corporation, NEC Corporation
    Inventors: Hiroaki Tomimori, Hidemitsu Aoki, Toshiyuki Iwamoto
  • Publication number: 20100120252
    Abstract: A method of controlling both alignment and registration (lateral position) of lamellae formed from self-assembly of block copolymers, the method comprising the steps of obtaining a substrate having an energetically neutral surface layer comprising a first topographic “phase pinning” pattern and a second topographic “guiding” pattern; obtaining a self-assembling di-block copolymer; coating the self-assembling di-block copolymer on the energetically neutral surface to obtain a coated substrate; and annealing the coated substrate to obtain micro-domains of the di-block copolymer.
    Type: Application
    Filed: June 11, 2009
    Publication date: May 13, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Ho-Cheol Kim, Charles T. Rettner, Sang-Min Park
  • Publication number: 20100120236
    Abstract: The present invention provides a single-electron device composed of a necklace of about 5000 nanoparticles. The linear necklace is self-assembled by interfacial phenomena along a triple-phase line of fiber, a substrate and electrolyte containing nanoparticles. A variety of combinations of nanoparticles, such as Au and CdS nanoparticles, may be used to form a necklace. The I-V measurements on the system show both coulomb blockade and staircase, with high currents and high threshold voltage of 1-3 V. The present invention also provides methods for constructing such a device.
    Type: Application
    Filed: July 24, 2006
    Publication date: May 13, 2010
    Applicant: University of Nebraska at Lincoln
    Inventors: Ravi F. Saraf, Sanjun Niu, Vikas Berry, Vivek Maheshwari
  • Publication number: 20100112822
    Abstract: In a manufacturing process of a semiconductor device by forming a structure film on a substrate in a reaction chamber of a manufacturing apparatus, cleaning inside the reaction chamber is performed. That is, a precoat film made of a silicon nitride film containing boron is deposited on an inner wall of the reaction chamber, a silicon nitride film not containing boron is formed as the structure film on the substrate in the reaction chamber, and the inner wall of the reaction chamber is dry etched to be cleaned. At this time, the dry etching is terminated after boron is detected in a gas exhausted from the reaction chamber.
    Type: Application
    Filed: September 22, 2009
    Publication date: May 6, 2010
    Inventors: Kenichiro Toratani, Takashi Nakao, Ichiro Mizushima
  • Publication number: 20100101730
    Abstract: A substrate processing apparatus, which is designed to prevent the wobbling of a rotational shaft rotating, is provided. The substrate includes a rotation shaft and a connecting member. A unit is disposed between the rotational shaft and the connecting member to make the rotational shaft and the connecting member close-contact each other or a unit is disposed under the rotational shaft to prevent the wobbling of the rotational shaft.
    Type: Application
    Filed: October 21, 2009
    Publication date: April 29, 2010
    Applicant: JUSUNG ENGINEERING CO., LTD.
    Inventors: Kyu Jin CHOI, Sung Min NA, Euy Kyu LEE, Yong Han JEON, Cheol Hoon YANG, Tae Wan LEE, Uk HWANG, Sun Kee KIM
  • Publication number: 20100101728
    Abstract: A disclosed a plasma process apparatus includes a process chamber that houses a substrate subjected to a predetermined plasma process and may be evacuated to a reduced pressure; a microwave generator that generates microwaves for generating plasma; a waveguide pipe that transmits the microwaves from the microwave generator to the process chamber; a waveguide pipe/coaxial pipe converter connected to one end of the waveguide pipe; and a coaxial pipe that forms a line through which the microwaves are transmitted from the waveguide pipe-coaxial pipe converter to the process chamber. An inner conductive body of the coaxial pipe has a hollow portion; and a first process gas supplying portion that supplies a process gas into the process chamber through the hollow portion of the inner conductive body of the coaxial pipe.
    Type: Application
    Filed: March 28, 2008
    Publication date: April 29, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Masahide Iwasaki
  • Publication number: 20100101487
    Abstract: The present invention provides a blended solvent for solubilizing an ultraviolet photoresist. The blended solvent comprises a mixture of from about 5 vol % to about 95 vol % of a first solvent, wherein the first solvent comprises a cyclic ester. A balance of the mixture comprises a second solvent, wherein the second solvent comprises a volatile organic liquid.
    Type: Application
    Filed: January 6, 2010
    Publication date: April 29, 2010
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Mark H. SOMERVELL, Benjamen M. RATHSACK, David C. HALL
  • Publication number: 20100095891
    Abstract: The present invention is a method and apparatus for cleaning a chemical vapor deposition (CVD) chamber using cleaning gas energized to a plasma in a gas mixing volume separated by an electrode from a reaction volume of the chamber. In one embodiment, a source of RF power is coupled to a lid of the chamber, while a switch is used to couple a showerhead to ground terminals or the source of RF power.
    Type: Application
    Filed: January 7, 2010
    Publication date: April 22, 2010
    Inventors: Maosheng Zhao, Juan Carlos Rocha-Alvarez, Inna Shmurun, Soova Sen, Mao D. Lim, Shankar Venkataraman, Ju-Hyung Lee
  • Publication number: 20100099263
    Abstract: A method and apparatus for selectively etching doped semiconductor oxides faster than undoped oxides. The method comprises applying dissociative energy to a mixture of nitrogen trifluoride and hydrogen gas remotely, flowing the activated gas toward a processing chamber to allow time for charged species to be extinguished, and applying the activated gas to the substrate. Reducing the ratio of hydrogen to nitrogen trifluoride increases etch selectivity. A similar process may be used to smooth surface defects in a silicon surface.
    Type: Application
    Filed: October 20, 2008
    Publication date: April 22, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Chien-Teh Kao, Xinliang Lu, Haichun Yang, Zhenbin Ge, David T. Or, Mei Chang
  • Publication number: 20100078128
    Abstract: A gas flow of a gas pipe is indicated before an electromagnetic valve is actually opened, so that the electromagnetic valve can be prevented from being opened or closed by a wrong manipulation or hazards caused by undesired mixing of gases can be avoided so as to improve safety. The substrate processing apparatus includes a state detection unit configured to detect an opening/closing request state and an opening/closing state of a valve installed at a gas pipeline; and a indication unit configured to indicate a gas flow state of the gas pipeline predicted according to the opening/closing request state and a gas flow state of the gas pipeline when the valve is opened, in a way that each state is distinguished.
    Type: Application
    Filed: September 28, 2009
    Publication date: April 1, 2010
    Inventors: Tomoyuki YAMADA, Mamoru Oishi, Kanako Kitayama
  • Publication number: 20100081288
    Abstract: Disclosed is a substrate processing apparatus which comprises reaction tubes (3,4) for processing multiple substrates (27), a heater (5) for heating the substrates, and gas introducing nozzles (6,7,8,9,10) for supplying a gas into the reaction tubes. Each of the gas introducing nozzles (6,7,8,9) is structured so that at least the channel cross section of a portion facing the heater (5) is larger than those of the other portions.
    Type: Application
    Filed: October 13, 2009
    Publication date: April 1, 2010
    Inventor: Naoharu NAKAISO
  • Publication number: 20100078129
    Abstract: A mounting table for use in a plasma processing apparatus, on which a substrate is mounted, includes: an inner conductive member connected to an ion attracting RF power supply; an outer conductive member connected to a plasma generating RF power supply, the outer conductive member surrounding the inner conductive member; and a partition member formed of a dielectric material, the partition member partitioning between the inner conductive member and the outer conductive member. Further, the mounting table includes an electrostatic chuck formed of a dielectric material and arranged between the substrate and the inner conductive member, and between the substrate and the outer conductive member; and a dielectric layer arranged between the electrostatic chuck and the inner conductive member to conceal the inner conductive member from the electrostatic chuck. The electrostatic chuck includes an electrode film that is connected to a high voltage DC power supply.
    Type: Application
    Filed: September 16, 2009
    Publication date: April 1, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Shinji HIMORI, Yasuharu SASAKI
  • Publication number: 20100071850
    Abstract: A mounting table for use in a plasma processing apparatus, on which a substrate is mounted, includes: a conductive member connected to a high frequency power supply and a high frequency power supply; a dielectric layer embedded in a central portion on an upper surface of the conductive member; and an electrostatic chuck mounted on the dielectric layer. Further, the electrostatic chuck is connected to a high voltage DC power supply and includes an electrode film satisfying following conditions: ?/z?85 (where ?=(?v/(??f))1/2) and, a surface resistivity of the substrate>a surface resistivity of a central portion of the electrode film.
    Type: Application
    Filed: September 16, 2009
    Publication date: March 25, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Shinji HIMORI, Yasuharu Sasaki
  • Publication number: 20100075500
    Abstract: The invention provides a metal polishing slurry containing a compound represented by the general formula (1): (X1)n-L wherein X1 represents a heterocycle containing at least one nitrogen atom, n represents an integer of 2 or more, and L represents a linking group having a valence of 2 or more, provided that X1s whose number is n may be the same or different, an oxidizer and an organic acid; and a method of chemical mechanical polishing using such slurry. The metal polishing slurry and the chemical mechanical polishing method are used in chemical mechanical polishing in the step of manufacturing semiconductor devices and enable a high polishing rate to be achieved while causing minimal dishing in polishing an object (wafer).
    Type: Application
    Filed: March 17, 2009
    Publication date: March 25, 2010
    Applicant: FUJIFILM Corporation
    Inventors: Masaru Yoshikawa, Tadashi Inaba, Hiroshi Inada, Takamitsu Tomiga
  • Publication number: 20100065214
    Abstract: A showerhead electrode assembly of a plasma processing apparatus includes a thermal control plate attached to a showerhead electrode, and a top plate attached to the thermal control plate. At least one thermal bridge is provided between opposed surfaces of the thermal control plate and the top plate to allow electrical and thermal conduction between the thermal control plate and top plate. A lubricating material between the thermal bridge and the top plate minimizes galling of opposed metal surfaces due to differential thermal expansion between the top plate and thermal control plate. A heater supported by the thermal control plate cooperates with the temperature controlled top plate to maintain the showerhead electrode at a desired temperature.
    Type: Application
    Filed: November 24, 2009
    Publication date: March 18, 2010
    Applicant: Lam Research Corporation
    Inventors: William S. Kennedy, David E. Jocob
  • Publication number: 20100064970
    Abstract: An apparatus to form semiconductor devices includes an inner tube and an outer tube disposed to surround the inner tube. A plate is disposed at first open end of the inner tube to reduce variation between pressures at a first portion and a second portion inside the inner tube. The sum of areas of through-holes disposed on the plate is 10 to 60 percent of the entire area of the plate. The through-holes may include a first through-hole that is disposed at a central portion of the plate, and second through-holes disposed at an edge portion of the plate. The second through-holes are annularly arranged to surround the first through-hole.
    Type: Application
    Filed: August 24, 2009
    Publication date: March 18, 2010
    Applicant: Samsung Electronics Co., Ltd
    Inventors: Youngsoo PARK, Jungil Ahn, Myeongjin Kim, Sangyeob Cha, WanGoo Hwang, Youngsam An
  • Publication number: 20100062590
    Abstract: The present disclosure provides a method for making metal gate stacks of a semiconductor device. The method includes applying a first etching process to the substrate to remove a polysilicon layer and a metal gate layer on the substrate; applying a diluted hydrofluoric acid (HF) to the substrate to remove polymeric residue; thereafter applying to the substrate with a cleaning solution including hydrochloride (HCl), hydrogen peroxide (H2O2) and water (H2O); applying a wet etching process diluted hydrochloride (HCl) to the substrate to remove a capping layer; and applying to the substrate with a second etching process to remove a high k dielectric material layer.
    Type: Application
    Filed: December 18, 2008
    Publication date: March 11, 2010
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Jr Jung Lin, Yih-Ann Lin, Ryan Chia-Jen Chen
  • Publication number: 20100059182
    Abstract: A substrate processing apparatus includes a chamber having a reaction space therein, a substrate seating member disposed in the reaction space of the chamber to seat a substrate thereon, an induction heating unit to heat the substrate seating member, and at least one altitude adjusting unit to selectively adjust the altitude of the induction heating unit at the outside of the chamber according to a temperature adjusting region of the substrate seating member. Therefore, it is possible to constantly control a temperature of the substrate seating member by adjusting the distance length between the substrate seating member and the induction heating unit at the outside of the chamber.
    Type: Application
    Filed: August 30, 2009
    Publication date: March 11, 2010
    Applicant: JUSUNG ENGINEERING CO., LTD.
    Inventors: Ho Chul LEE, Sun Hong Choi, Seung Ho Lee, Ji Hun Lee, Dong Kyu Lee, Tae Wan Lee
  • Publication number: 20100055925
    Abstract: A heater for heating a wafer includes elements that are arranged at a distance from one another in a rotationally symmetrical fashion with respect to a shaft extending through a center of the wafer, an electrode being provided to each of the elements to heat the wafer uniformly.
    Type: Application
    Filed: August 25, 2009
    Publication date: March 4, 2010
    Inventors: Kunihiko SUZUKI, Shinichi Mitani
  • Publication number: 20100055918
    Abstract: Metal corrosion and substrate contamination can be suppressed, and process quality and yield can be improved. A substrate processing apparatus comprises: a process chamber; a substrate holder; a cover part closing and opening the process chamber; a substrate holder stage; a rotary mechanism rotating the substrate holder stage; a rotation shaft inserted through the cover part and connected to the substrate holder stage and the rotary mechanism so that a first gas ejection port is formed therebetween; a first gas stagnant part surrounded by the rotary mechanism, the cover part, and the rotation shaft; a second gas ejection port formed at the substrate holder stage; a second gas stagnant part formed at the rotation shaft and communicating with the process chamber via the second gas ejection port; and a flow port formed at the rotation shaft for connecting the first and second gas stagnant parts.
    Type: Application
    Filed: August 27, 2009
    Publication date: March 4, 2010
    Inventors: Takayuki Nakada, Tomoyuki Matsuda, Shinya Morita
  • Publication number: 20100043974
    Abstract: A plasma processing method is arranged to supply a predetermined process gas into a plasma generation space in which a target substrate is placed, and turn the process gas into plasma. The substrate is subjected to a predetermined plasma process by this plasma. The spatial distribution of density of the plasma and the spatial distribution of density of radicals in the plasma are controlled independently of each other relative to the substrate by a facing portion opposite the substrate to form a predetermined process state over the entire target surface of the substrate.
    Type: Application
    Filed: October 28, 2009
    Publication date: February 25, 2010
    Inventors: Akira Koshiishi, Jun Hirose, Masahiro Ogasawara, Taichi Hirano, Hiromitsu Sasaki, Tetsuo Yoshida, Michishige Saito, Hiroyuki Ishihara, Jun Ooyabu, Kohji Numata
  • Publication number: 20100043973
    Abstract: The present invention relates to a plasma processor capable of regulating the temperature of the inner surface of the processing chamber efficiently and with excellent response, with a low-cost configuration. A plasma processor 1 includes a processing chamber 11, a processing gas supply device 20, an exhaust device 40, coils 23, a high-frequency power supply unit 24, a heater 26, a cooling device 30, and a control device 50. The cooling device 30 is configured with a cooling member 32 facing the processing chamber 11 at a distance therefrom, a cooling fluid supply section 31 for supplying cooling fluid into a cooling passage 32a of the cooling member 32 and circulates it, and annular seal members 35 and 36 provided between the cooling member 32 and the processing chamber 11. The exhaust device 40 reduces the pressure in a space S surrounded by the seal members 35 and 36, the cooling member 32, and the processing chamber 11.
    Type: Application
    Filed: February 26, 2008
    Publication date: February 25, 2010
    Inventor: Toshihiro Hayami
  • Publication number: 20100043975
    Abstract: In a gas introduction structure that is reciprocatingly movable in a predetermined direction, a processing gas introduction system is configured to introduce a processing gas into a processing chamber in which a substrate is subjected to a predetermined processing under a clean atmosphere; and a processing gas supply line is configured to be connected to the processing gas introduction system and an external processing gas supply source to supply the processing gas from the processing gas supply source to the processing gas introduction system, mutual relative positions of the processing gas supply source and the processing gas introduction system being changed. The processing gas supply line includes: a first bendable portion connected to the processing gas supply source; a second bendable portion connected to the processing gas introduction system; and a non-bendable pipe interposed between the first bendable portion and the second bendable portion.
    Type: Application
    Filed: November 2, 2009
    Publication date: February 25, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Daisuke HAYASHI
  • Publication number: 20100048022
    Abstract: A semiconductor manufacturing apparatus that forms a carbon film on a wafer by plasma enhanced chemical vapor deposition includes a body having a top opening; a stage provided within the body for placement of the wafer; a showerhead that encloses the top opening and that introduces a deposition gas or an etch gas; and a gas delivery system including a central gas inlet that introduces gas toward a central portion of the wafer from a central portion of the showerhead, and a peripheral gas inlet that introduces gas toward a bevel of the wafer from an outer peripheral portion of the showerhead, wherein the gas delivery system, after activating the etch gas outside the body, delivers the activated etch gas toward the bevel of the wafer to selectively remove a portion of the carbon film formed on the bevel of the wafer.
    Type: Application
    Filed: June 24, 2009
    Publication date: February 25, 2010
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Hiroshi KUBOTA
  • Publication number: 20100043707
    Abstract: A cleaning method of a semiconductor manufacturing apparatus begins by introducing film forming gas include reaction gas not forming a film by itself to reaction chamber to form the film on a semiconductor substrate, decreasing pressure of the reaction chamber, solidifying or liquefying the reaction gas to form particles by using small-particles in the reaction chamber as cores, and exhausting the particles from the reaction chamber. Using this method, foreign small-particles can easily be removed from the apparatus and suppress any possible contamination of semiconductor substrates to be processed.
    Type: Application
    Filed: October 29, 2009
    Publication date: February 25, 2010
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Takayuki FURUSAWA
  • Publication number: 20100041238
    Abstract: A tunable multi-zone injection system for a plasma processing system for plasma processing of substrates such as semiconductor wafers. The system includes a plasma processing chamber, a substrate support for supporting a substrate within the processing chamber, a dielectric member having an interior surface facing the substrate support, the dielectric member forming a wall of the processing chamber, a gas injector fixed to part of or removably mounted in an opening in the dielectric window, the gas injector including a plurality of gas outlets supplying process gas at adjustable flow rates to multiple zones of the chamber, and an RF energy source such as a planar or non-planar spiral coil which inductively couples RF energy through the dielectric member and into the chamber to energize the process gas into a plasma state.
    Type: Application
    Filed: October 23, 2009
    Publication date: February 18, 2010
    Applicant: Lam Research Corporation
    Inventors: David J. Cooperberg, Vahid Vahedi, Douglas Ratto, Harmeet Singh, Neil Benjamin
  • Publication number: 20100035440
    Abstract: A substrate processing apparatus includes: a reaction tube configured to process a plurality of substrates; a heater configured to heat the inside of the reaction tube; a holder configured to arrange and hold the plurality of substrates within the reaction tube; a first nozzle disposed in an area corresponding to a substrate arrangement area where the plurality of substrates are arranged, and configured to supply hydrogen-containing gas from a plurality of locations of the area into the reaction tube; a second nozzle disposed in the area corresponding to the substrate arrangement area, and configured to supply oxygen-containing gas from a plurality of locations of the area into the reaction tube; an exhaust outlet configured to exhaust the inside of the reaction tube; and a pressure controller configured to control pressure inside the reaction tube to be lower than atmospheric pressure, wherein the first nozzle is provided with a plurality of first gas ejection holes, and the second nozzle is provided with as
    Type: Application
    Filed: August 5, 2009
    Publication date: February 11, 2010
    Inventors: Masanao Fukuda, Takafumi Sasaki, Kazuhiro Yuasa