Procedures, I.e., Sequence Of Activities Consisting Of Plurality Of Measurement And Correction, Marking Or Sorting Steps (epo) Patents (Class 257/E21.525)
  • Patent number: 10262911
    Abstract: A circuit for testing bond connections between a first die and a second die is described. The circuit comprises a defect monitoring circuit implemented on the first die, which is configured as a test die; and a plurality of bond connections between the first die and the second die; wherein the defect monitoring circuit is configured to detect a defect in a bond connection of the plurality of bond connections between the first die and the second die. A method of testing bond connections between a first die and a second die is also described.
    Type: Grant
    Filed: December 14, 2016
    Date of Patent: April 16, 2019
    Assignee: XILINX, INC.
    Inventors: Yuqing Gong, Henley Liu, Myongseob Kim, Suresh P. Parameswaran, Cheang-Whang Chang, Boon Y. Ang
  • Patent number: 10234401
    Abstract: A method of manufacturing semiconductor devices includes defining a sampling plan that contains position information about metrology sites on process wafers. A first property of the process wafers is measured to obtain measurement values at measurement points, wherein a quantity of the measurement points per process wafer is at least tenfold a quantity of the metrology sites. A sampling model that includes at least a wafer model is updated on the basis of the measurement values. The sampling plan is updated on the basis of an assessment of deviations of the measurement values from a current sampling model.
    Type: Grant
    Filed: February 22, 2016
    Date of Patent: March 19, 2019
    Assignee: QONIAC GMBH
    Inventors: Stefan Buhl, Martin Roeßiger, Georg Erley, Boris Habets
  • Patent number: 10234360
    Abstract: A degradation cause estimation device is provided with a degradation detector for detecting the amount of degradation undergone by a device, a state observation device for detecting observation values for internal portions, values observed from outside, or device control and operation information, a degradation section detector for detecting a section undergoing degradation using the output values of the degradation detector, and a cause estimator for estimating the cause of the degradation using the device state observations for the degradation section, and outputs the cause for the degradation section.
    Type: Grant
    Filed: July 30, 2014
    Date of Patent: March 19, 2019
    Assignee: HITACHI, LTD.
    Inventors: Munetoshi Unuma, Takashi Saeki, Shinya Yuda
  • Patent number: 10229848
    Abstract: In a substrate alignment apparatus, a motor sequentially rotates a plurality of substrates in a circumferential direction, the substrates being to be held in a vertical posture at a lower edge portion by a substrate holder. A controller controls the motor on the basis of warpage-and-notch-position information and input information that is input about a warped state of the substrates, to determine circumferential positions of the notches of the substrates. This reduces a distance in a thickness direction between a lower edge portion and an upper edge of each substrate that is held by the substrate holder. As a result, it is possible to facilitate handling of a plurality of substrates held by the substrate holder.
    Type: Grant
    Filed: September 1, 2017
    Date of Patent: March 12, 2019
    Assignee: SCREEN Holdings Co., Ltd.
    Inventor: Yukiteru Miyamoto
  • Patent number: 10216889
    Abstract: A system and method for restricting the number of layout patterns by pattern identification, matching and classification, includes decomposing the pattern windows into a low frequency component and a high frequency component using a wavelet analysis for an integrated circuit layout having a plurality of pattern windows. Using the low frequency component as an approximation, a plurality of moments is computed for each pattern window. The pattern windows are classified using a distance computation for respective moments of the pattern windows by comparing the distance computation to an error value to determine similarities between the pattern windows.
    Type: Grant
    Filed: July 28, 2016
    Date of Patent: February 26, 2019
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Maria Gabrani, Paul T. Hurley
  • Patent number: 10204387
    Abstract: Methods of providing services to individual and methods of manufacturing items are described. Data structures to represent priority values for those individuals or items are also described. By ascribing priority values to a set of items, those items can be grouped based on those priority values. Once grouped based on priority values, the items within each group can be manufactured according to group priority as well as according to the characteristics of each item to be manufactured within that group. The same concept applies to providing services to individuals.
    Type: Grant
    Filed: January 27, 2017
    Date of Patent: February 12, 2019
    Assignee: nMETRIC, LLC
    Inventors: Mac Joiner, William N. Turley, Christine L. Koski
  • Patent number: 10190991
    Abstract: Examining an object, comprising: receiving potential defects, each associated with a location; performing first clustering of the potential defects to obtain first and second subsets, the clustering performed such that potential defects in the first subset are denser in a physical area than potential defects in the second subset; automatically assigning first validity probabilities to potential defects in the first and second subsets; selecting for review potential defects from the first and second subsets, according to a third policy, and in accordance with a strategy for combining top elements and randomly selected elements from the merged list; receiving indications for potential defects in part of the potential defect lists, subsequent to potential defects being reviewed; updating the policies in accordance with validation or classification of items in the first and second subsets; and repeating said assigning, selecting, receiving and updating with the updated policies, until a stopping criteria is obser
    Type: Grant
    Filed: November 3, 2016
    Date of Patent: January 29, 2019
    Assignee: Applied Materials Israel LTD.
    Inventors: Yotam Sofer, Idan Kaizerman
  • Patent number: 10161994
    Abstract: Systems and methods for electrically testing electromigration in an electromigration test structure are disclosed herein. The systems include a voltage control portion, a current control portion, and a current regulating structure. The systems further include an electric current detector, a first system connection, and a second system connection. The systems also include a voltage detector, and a controller. In some embodiments of the methods, a voltage control portion regulates a high-side signal electric current to maintain a voltage difference below a voltage setpoint while a current control portion maintains the high-side signal electric current below a threshold current value. In some embodiments of the methods, one of the voltage difference and a magnitude of the high-side signal electric current is selected as a primary control parameter while the other is selected as a compliant control parameter.
    Type: Grant
    Filed: June 14, 2016
    Date of Patent: December 25, 2018
    Assignee: FormFactor Beaverton, Inc.
    Inventors: Timothy Allen McMullen, Brent Dale Harry, Eric James Wilcox, James J. Donlin
  • Patent number: 10128121
    Abstract: A substrate processing apparatus is provided that includes a control part configured to control a substrate process in accordance with a processing procedure set in a process recipe. The process recipe is linked to a plurality of partial recipes obtained by dividing the processing procedure into functions. The control part controls the substrate process in accordance with processing procedures set in the linked plurality of partial recipes.
    Type: Grant
    Filed: May 18, 2015
    Date of Patent: November 13, 2018
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Mitsuru Sasaki, Tatsuya Miura, Toshihiro Ohno, Kazumune Ono, Shoko Endo, Ryu Kitahara
  • Patent number: 10120372
    Abstract: An event processing system identifies a process event associated with an identified defect in a manufacturing process. The event processing system selects a plurality of data elements from a manufacturing data source based on the process event. The manufacturing data source is associated with the manufacturing process during execution of the manufacturing process. During execution of the manufacturing process, the event processing system applies an event rule to the plurality of data elements to determine whether the event rule is satisfied. During execution of the manufacturing process, the event processing system performs a predefined action upon determining that the event rule is satisfied and selects additional data elements from the manufacturing data source upon determining that the event rule is not satisfied.
    Type: Grant
    Filed: July 29, 2014
    Date of Patent: November 6, 2018
    Assignee: Applied Materials, Inc.
    Inventors: Jamini Samantaray, John Scoville
  • Patent number: 10114071
    Abstract: According to an embodiment, a testing mechanism determines a status of circuits within a chip by analyzing fail signatures on a by-level basis to identify a high probability defect area within the chip. The testing mechanism further determines a whether functionally needed circuitry of the chip intersects with the high probability defect area within the chip and determines the status of the circuits in response to the determining of whether the functionally needed circuitry intersects with the high probability defect area.
    Type: Grant
    Filed: April 26, 2016
    Date of Patent: October 30, 2018
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kirk D. Peterson, Alain G. Rwabukamba, Andrew A. Turner
  • Patent number: 10107737
    Abstract: An apparatus and a method for evaluating film adhesion are disclosed. The film is disposed on a first substrate, a side of the first substrate provided with the film is attached to a second substrate, and the film is divided into units. The apparatus includes an evaluation machine, which includes an upper fixing mechanism and a lower fixing mechanism disposed opposite to each other, the second substrate is detachably fixed on the upper fixing mechanism, and a side of the first substrate not provided with the film is detachably fixed on the lower fixing mechanism. The evaluation machine further includes a force application device, which is configured to apply an external force to the upper fixing mechanism and/or the lower fixing mechanism, so that the upper fixing mechanism and the lower fixing mechanism generate relative movement away from each other.
    Type: Grant
    Filed: June 26, 2015
    Date of Patent: October 23, 2018
    Assignees: BOE TECHNOLOGY GROUP CO., LTD., HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD.
    Inventors: Min Yuan, Hongwei Xing, Guilin Liu
  • Patent number: 10108162
    Abstract: A manufacturing process system includes: a plurality of processing devices which processes workpieces, with respective equipment associated with the plurality of processing devices; a transfer device which transfers the workpieces to the plurality of processing devices; a host computer which performs creating a transfer plan including information of timings at which the workpieces are carried in and out by the transfer device and acquiring an operation schedule including information of maintenance time from the plurality of processing devices; and a processing device group controller which performs acquiring the transfer plan and the operation schedule from the host computer, comparing the transfer plan and the operation schedule of each processing device, specifying the last process before maintenance for each processing device, detecting the end timing of the specified process, and instructing each processing device to be switched to an idle state at the end timing along with the associated equipment.
    Type: Grant
    Filed: May 22, 2014
    Date of Patent: October 23, 2018
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Taku Mizutani, Ichiro Namioka, Toshihiko Iijima, Shigenori Todate, Takahiro Ito
  • Patent number: 10083416
    Abstract: Embodiments of the present routing returned inventory assets and provide a method, system and computer program product for routing items in a manufacturing environment. In an embodiment of the invention, a method for routing items in a manufacturing environment can be provided. The method can include defining attributes of work pools in the manufacturing environment, defining a set of reuse strategies for use in the manufacturing environment, receiving a returned inventory asset in the manufacturing environment and obtaining at least one attribute of the returned inventory asset, comparing the obtained at least one attribute of the returned inventory asset to the set of reuse strategies to select the reuse strategy to apply to the returned inventory asset and applying the selected reuse strategy to the returned inventory asset to assign the returned inventory asset to one of the work pools in the manufacturing environment.
    Type: Grant
    Filed: May 30, 2008
    Date of Patent: September 25, 2018
    Assignee: International Business Machines Corporation
    Inventors: Francis E. Del Rosario, Kael B. Eddy, Clinton W. Erie, Ivory W. Knipfer, Matthew H. Zemke
  • Patent number: 10074036
    Abstract: Disclosed are methods and apparatus for inspecting a photolithographic reticle. Modeled images of a plurality of target features of the reticle are obtained based on a design database for fabricating the reticle. An inspection tool is used to obtain a plurality of actual images of the target features of the reticle. The modelled and actual images are binned into a plurality of bins based on image properties of the modelled and actual images, and at least some of the image properties are affected by one or more neighbor features of the target features on the reticle in a same manner. The modelled and actual images from at least one of the bins are analyzed to generate a feature characteristic uniformity map for the reticle.
    Type: Grant
    Filed: October 15, 2015
    Date of Patent: September 11, 2018
    Assignee: KLA-Tencor Corporation
    Inventors: Yanwei Liu, Hawren Fang
  • Patent number: 10063719
    Abstract: An image forming apparatus, guide providing method thereof, cloud server and error analyzing method thereof are provided. The image forming apparatus may include a scanner configured to scan a script and generate a scan image, a communicator configured to communicate with a cloud server, a display configured display a screen, and a processor configured to control the communicator to transmit the generated scan image to a cloud server, and in response to receiving an error solution guide of the scan image from the cloud server, control the display to display the received error solution guide.
    Type: Grant
    Filed: June 29, 2016
    Date of Patent: August 28, 2018
    Assignee: S-PRINTING SOLUTION CO., LTD.
    Inventors: Jeong-hwan Shin, Chang-hyung Lee, Dong-hyeop Han
  • Patent number: 10050012
    Abstract: Disclosed are processes and apparatuses for semiconductor die removal and rework, including thin dies. In one aspect the process involves the use of a localized induction heating system to melt targeted solder joints, thereby minimizing the degradation of the thermal performance of the assembly undergoing the rework. Use of a vacuum-based die removal head, optionally in combination with the induction heating system, allows for the removal of thin dies of 150 micrometers thick or less.
    Type: Grant
    Filed: November 25, 2015
    Date of Patent: August 14, 2018
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Stephen P. Ayotte, Glen E. Richard, Timothy M. Sullivan
  • Patent number: 10043264
    Abstract: A method for defect classification includes storing definitions of defect classes in terms of a classification rules in a multi-dimensional feature space. Inspection data associated with defects detected in one or more samples under inspection is received. A plurality of first classification results is generated by applying an automatic classifier to the inspection data based on the definitions, the plurality of first classification results comprising a class label and a corresponding confidence level for a defect. Upon determining that a confidence level for a defect is below a predetermined confidence threshold, a plurality of second classification results are generated by applying at least one inspection modality to the defect. A report is generated comprising a distribution of the defects among the defect classes by combining the plurality of first classification results and the plurality of second classification results.
    Type: Grant
    Filed: April 19, 2012
    Date of Patent: August 7, 2018
    Assignee: APPLIED MATERIALS ISRAEL LTD.
    Inventors: Gadi Greenberg, Idan Kaizerman, Efrat Rozenman
  • Patent number: 10020223
    Abstract: A semiconductor structure and methods of forming the semiconductor structure forming a single damascene line formed of a conductive material in a dielectric layer. The single damascene line is at a thickness equal to a line height and a via height. The single damascene line is subtractively cut and patterned to form a first line including a via at a first line end and a second line including a via at a second line end. The tip-to-tip spacing is minimal and defines via pitch. A conformal conductive metal cap layer including cobalt is deposited onto the first and second lines including the respective vias at the first and second line ends.
    Type: Grant
    Filed: April 12, 2017
    Date of Patent: July 10, 2018
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Brent A. Anderson, Benjamin D. Briggs, Theodorus E. Standaert
  • Patent number: 10012970
    Abstract: A board production state monitoring system including a camera unit that is disposed in a board work machine which carries out predetermined work for a board, that images a progress of the work by using a moving image, and that outputs moving image data; a data operation unit that receives, stores, and displays the moving image data; a trigger factor detection unit that detects at least one of a change factor in which working conditions of the work are changed inside the board work machine and a sign of error occurrence which shows that a working error may occur in the work, as a trigger factor; and an imaging start device for causing the camera unit to start moving image capturing if the trigger factor detection unit detects the trigger factor, and for causing the data operation unit to temporarily store the moving image data, is provided.
    Type: Grant
    Filed: November 13, 2012
    Date of Patent: July 3, 2018
    Assignee: FUJI MACHINE MFG. CO., LTD.
    Inventors: Masafumi Amano, Hirotake Esaki, Mitsutaka Inagaki, Ken Morikami
  • Patent number: 10014230
    Abstract: A method of forming an electronic device includes forming a first opening and a second opening in a workpiece. The first opening is deeper than the second opening. The method further includes forming a fill material within the first opening to form part of a through via and forming the fill material within the second opening.
    Type: Grant
    Filed: November 15, 2016
    Date of Patent: July 3, 2018
    Assignee: INFINEON TECHNOLOGIES AG
    Inventors: Albert Birner, Tobias Herzig
  • Patent number: 10013284
    Abstract: Dynamic pool reallocation performed by the following steps: (i) defining a plurality of resource pools including a first pool and a second pool, where each resource pool has a plurality of assigned resources; (ii) receiving a plurality of jobs to be executed; (iii) for each job of the plurality of jobs, assigning a respective resource pool, of the plurality of resource pools, to be used in completing the job; (iv) determining a preliminary schedule for executing the jobs on their respective resource pools; (v) determining whether the preliminary schedule will cause any jobs to miss service level agreement (SLA) deadlines corresponding to the job; (vi) executing the plurality of jobs on their respectively assigned resource pools; and (vii) re-assigning first resource from the second pool to the first pool during at least some of the time of the execution of the first job by the first resource pool.
    Type: Grant
    Filed: June 29, 2016
    Date of Patent: July 3, 2018
    Assignee: International Business Machines Corporation
    Inventors: Arcangelo Di Balsamo, Sandro Piccinini, Luigi Presti, Luigi Schiuma
  • Patent number: 10006934
    Abstract: A method for determining antifouling ability of a material surface is provided. The method includes (a) providing a determining device. The determining device includes a probe and a determining unit with a spring characteristic structure. The probe includes a micro particle or a micro particle and a pollutant fixed on a surface of the micro particle. The probe is fixed at one end of the spring characteristic structure. After the step (a), the method further includes (b) contacting the probe with a material surface-to-be-determined, (c) deforming the spring characteristic structure until the probe departs from the material surface-to-be-determined to recover the spring characteristic structure, and determining the level of the deformation, (d) determining the adhesion value of the probe to the material surface-to-be-determined using the deformation and (e) determining the antifouling ability of the material surface.
    Type: Grant
    Filed: December 19, 2016
    Date of Patent: June 26, 2018
    Assignee: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE
    Inventors: Feng-Sheng Kao, Jen-You Chu
  • Patent number: 10002024
    Abstract: Dynamic pool reallocation performed by the following steps: (i) defining a plurality of resource pools including a first pool and a second pool, where each resource pool has a plurality of assigned resources; (ii) receiving a plurality of jobs to be executed; (iii) for each job of the plurality of jobs, assigning a respective resource pool, of the plurality of resource pools, to be used in completing the job; (iv) determining a preliminary schedule for executing the jobs on their respective resource pools; (v) determining whether the preliminary schedule will cause any jobs to miss service level agreement (SLA) deadlines corresponding to the job; (vi) executing the plurality of jobs on their respectively assigned resource pools; and (vii) re-assigning first resource from the second pool to the first pool during at least some of the time of the execution of the first job by the first resource pool.
    Type: Grant
    Filed: June 10, 2016
    Date of Patent: June 19, 2018
    Assignee: International Business Machines Corporation
    Inventors: Arcangelo Di Balsamo, Sandro Piccinini, Luigi Presti, Luigi Schiuma
  • Patent number: 9992270
    Abstract: A system for providing an information guide of a first end user device to a second end user device is provided. The system receives an identifier of the second end user device from a user of the first end user device. The identifier of the second end user device is stored in a database in association with the first end user device. The information guide of the first end user device is transmitted to the second end user device based on the identifier of the second end user device in response to a predetermined condition of the first end user device being detected. A transmission of the information guide is configured to perform a predetermined action on the second end user device based on the predetermined condition of the first end user device.
    Type: Grant
    Filed: March 21, 2014
    Date of Patent: June 5, 2018
    Assignee: AT&T INTELLECTUAL PROPERTY I, L.P.
    Inventors: Soumyajit Chakraborty, James Gordon Beattie, Jr.
  • Patent number: 9978653
    Abstract: A method of manufacturing a semiconductor device includes: processing a substrate by operating a processing apparatus included in a substrate processing apparatus, based on a first process setting; acquiring apparatus data of the processing apparatus when processing the substrate; generating first evaluation data of the processing apparatus based on an evaluation factor corresponding to the first process setting and the apparatus data; determining one or more recipe items executable in the processing apparatus based on the first evaluation data; and notifying the one or more recipe items.
    Type: Grant
    Filed: December 23, 2016
    Date of Patent: May 22, 2018
    Assignee: HITACHI KOKUSAI ELECTRIC, INC.
    Inventors: Masanori Nakayama, Tadashi Terasaki
  • Patent number: 9970983
    Abstract: In various embodiments, a method for the computer-aided determination of deviation patterns during at least one of the production or testing of a multiplicity of dies is provided. The dies are uniquely identified. The method may include determining, for each die of the multiplicity of dies and for at least one measurement process of a plurality of measurement processes which are applied to at least one portion of the dies, a measurement value which was determined in the measurement process for the respective die; and carrying out a blind source separation using the measurement values, thereby determining the deviation patterns for the dies.
    Type: Grant
    Filed: February 3, 2015
    Date of Patent: May 15, 2018
    Assignee: Infineon Technologies AG
    Inventors: Reinhard Schachtner, Gerhard Poeppel
  • Patent number: 9965844
    Abstract: An inspection method includes the following steps: identifying a plurality of patterns within an image; and comparing the plurality of patterns with each other for measurement values thereof. The above-mentioned inspection method uses the pattern within the image as a basis for comparison; therefore, measurement values of the plurality of pixels constructing the pattern can be processed with statistical methods and then compared, and the false rate caused by variation of a few pixels is decreased significantly. An inspection system implementing the above-mentioned method is also disclosed.
    Type: Grant
    Filed: August 29, 2016
    Date of Patent: May 8, 2018
    Assignee: HERMES MICROVISION INC.
    Inventors: Wei Fang, Zhao-Li Zhang, Jack Jau
  • Patent number: 9938080
    Abstract: A method for redistributing bin load units in an automated Surface Mount Device (SMD) warehouse, where said automated SMD warehouse is configured to obtain information related to upcoming SMT jobs from an SMT database, the method is comprising automatically redistributing stored bins or bin load units in said automated Surface Mount Device (SMD) warehouse based on at least one of SMT job related information received or retrieved from said SMT database and predetermined rules for optimizing presentation of bin load units at a port of said automated Surface Mount Device (SMD) warehouse.
    Type: Grant
    Filed: September 17, 2014
    Date of Patent: April 10, 2018
    Assignee: MYCRONIC AB
    Inventors: Nils Jacobsson, Roger Jonasson
  • Patent number: 9935021
    Abstract: A method for evaluating a semiconductor wafer including preparing a reference wafer in which contamination element and amount of contamination are known, forming a plurality of cells including p-n junctions on the reference wafer, measuring junction leakage currents in the plurality of cells on the reference wafer to acquire a distribution of the junction leakage currents of the reference wafer, associating the distribution of the junction leakage currents of the reference wafer with a contamination element, forming a plurality of cells including p-n junctions on a wafer to be measured, measuring junction leakage currents in the plurality of cells on the wafer to be measured to acquire a distribution of the junction leakage currents of the wafer to be measured, and identifying a contamination element of the wafer to be measured based on the association.
    Type: Grant
    Filed: April 14, 2014
    Date of Patent: April 3, 2018
    Assignee: SHIN-ETSU HANDOTAI CO., LTD.
    Inventor: Tsuyoshi Ohtsuki
  • Patent number: 9886754
    Abstract: A method for detecting missing tooth in mining shovel, implemented using a processing device, includes receiving a pair of image frames from a camera disposed on a rope mine shovel configured to carry a mining load. A tooth line region corresponding to the pair of image frames is detected to generate a pair of tooth line regions based on a shovel template set. A difference image is determined based on the pair of image frames and the pair of tooth line regions. Further, a response map representative of possible tooth positions is determined based on the difference image using a tooth template matching technique. A tooth line is selected among a plurality of candidate tooth lines based on the response map. Further, a tooth condition is determined based on the tooth line and the difference image. The tooth condition is notified to an operator of the rope mine shovel.
    Type: Grant
    Filed: April 5, 2016
    Date of Patent: February 6, 2018
    Assignee: General Electric Company
    Inventors: Ser Nam Lim, Ning Zhou, Joao Vitor Baldini Soares
  • Patent number: 9880548
    Abstract: Necessary component data indicative of the type and number of electronic components used in component mounting operation is obtained on the basis of production plan data, and mounting data in advance for each of production lots in advance. New allocation processing for allocating an electronic component necessary for production execution of a new production lot to be newly produced on an electronic component mounting line for the new production lot on the basis of the necessary unit data is executed, and component reservation processing for registering the allocation result in question as the inventory data is conducted by a unit reservation unit.
    Type: Grant
    Filed: February 21, 2013
    Date of Patent: January 30, 2018
    Assignee: PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
    Inventors: Yasuhiro Maenishi, Norihisa Yamasaki, Yuji Nakamura
  • Patent number: 9875534
    Abstract: A reticle is inspected with an imaging system to obtain a measured image of a structure on the reticle, and the structure has an unknown critical dimension (CD). Using a model, a calculated image is generated using a design database that describes a pattern used to form the structure on the reticle. The model generates the calculated image based on: optical properties of reticle materials of the structure, a computational model of the imaging system, and an adjustable CD. A norm of a difference between the measured and calculated images is minimized by adjusting the adjustable CD and iteratively repeating the operation of generating a calculated image so as to obtain a final CD for the unknown CD of the structure. Minimizing the norm of the difference is performed simultaneously with respect to the adjustable CD and one or more uncertain parameters of the imaging system.
    Type: Grant
    Filed: August 29, 2016
    Date of Patent: January 23, 2018
    Assignee: KLA-Tencor Corporation
    Inventors: Abdurrahman Sezginer, Eric Vella, Balaji Ganapathy, Yanwei Liu
  • Patent number: 9857775
    Abstract: A method applied to a computer that determines a situation of a system includes the steps of: receiving measurement data from each of a plurality of measurement targets in the system; computing a plurality of sets of anomaly values based on the measurement data and a predetermined computation algorithm according to a plurality of classifications corresponding to a plurality of properties of each measurement target; and determining the situation of the system based on the sets of anomaly values and a predetermined determination algorithm.
    Type: Grant
    Filed: December 15, 2011
    Date of Patent: January 2, 2018
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Karim Hamzaoui, Shohei Hido, Shoko Suzuki, Rikiya Takahashi, Sachiko Yoshihama
  • Patent number: 9849488
    Abstract: It is an object of the embodiment of the invention to enhance the work efficiency of a substrate transfer test between a plurality of units. A test control section (CPU) which is provided in a loading/unloading unit 2 performs a substrate transfer test for the loading/unloading unit 2 alone by receiving a wafer mounted on a substrate table 2300 or 2400 which is installed outside the loading/unloading unit 2 and transporting the wafer into the loading/unloading unit 2 by a transport mechanism or transporting a wafer placed in the loading/unloading unit 2 to a substrate table 2200 and mounting the wafer on the substrate table 2200 by the transport mechanism while the loading/unloading unit 2 is not assembled together with the cleaning unit and the polishing unit.
    Type: Grant
    Filed: September 10, 2014
    Date of Patent: December 26, 2017
    Assignee: EBARA CORPORATION
    Inventors: Shunsuke Matsuzawa, Masafumi Inoue
  • Patent number: 9824773
    Abstract: The voltage applied to an integrated circuit is scaled so as to account for variations in the manufacturing processes, temperature, and the like, and to allow for power/performance optimization of the integrated circuit. The integrated circuit may characterized during a manufacturing test or anytime thereafter. The characterization data, which reflects the performance and power consumption of the integrated circuit, is used to determine an associated processing/speed bin, which in turn, defines the voltage that will be applied to the integrated circuit during normal operation. Optionally, a number of different supply voltages are applied to different circuit blocks disposed in the same integrated circuit. Each such circuit block may have a different characterization data associated with a different supply voltage.
    Type: Grant
    Filed: June 13, 2011
    Date of Patent: November 21, 2017
    Assignee: MICROSEMI STORAGE SOLUTIONS, INC.
    Inventors: Karim Arabi, Scott Muma, Nick Rolheiser, Norbert Diesing
  • Patent number: 9823066
    Abstract: A method includes receiving, into a measurement tool, a substrate having a material feature, wherein the material feature is formed on the substrate according to a design feature. The method further includes applying a source signal on the material feature by using a source in the measurement tool having a tool setting parameter, collecting a response signal from the material feature by using a detector in the measurement tool to obtain measurement data, and with a computer connected to the measurement tool, calculating a simulated response signal from the design feature using the tool setting parameter. The method further includes, with the computer, in response to determining that a difference between the collected response signal and the simulated response signal exceeds a predetermined value, causing the measurement tool to re-measure the material feature.
    Type: Grant
    Filed: July 14, 2016
    Date of Patent: November 21, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chui-Jung Chiu, Jen-Chieh Lo, Ying-Chou Cheng, Ru-Gun Liu
  • Patent number: 9812346
    Abstract: A method of manufacturing a semiconductor device comprises providing a carrier, disposing a plurality of dies over the carrier along a first direction and a second direction orthogonal to the first direction to arrange the plurality of dies in a plurality of rows, and shifting one of the plurality of rows along the first direction or the second direction in a predetermined distance.
    Type: Grant
    Filed: December 14, 2015
    Date of Patent: November 7, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Bor-Ping Jang, Chien Ling Hwang, Hsin-Hung Liao, Yeong-Jyh Lin
  • Patent number: 9804098
    Abstract: A defect recognition system and a defect recognition method are described. The method includes inspecting a wafer to generate a defect map and locating at least one defect on the wafer by using the defect map; measuring at least one light component of light reflected from one of the at least one defect; comparing the at least one light component measured from the light reflected from the defect with a characteristic curve of the corresponding light component of the defect; and estimating an occurrence time of the defect based on the comparison.
    Type: Grant
    Filed: October 20, 2015
    Date of Patent: October 31, 2017
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Chun-Jung Huang
  • Patent number: 9778941
    Abstract: A substrate processing system includes a main control unit having a configuration file in which ID information and detail information about devices for processing a substrate is recorded, the detail information includes information needed for controlling the devices, and a module controller having a list file obtained by converting the configuration file into a readable form, the module controller controlling the devices described in the list file on the basis of instructions from the main control unit. The module controller automatically adds, to the list file, ID information and detail information about a new device newly connected to the module controller to establish a condition under which the new device can be controlled.
    Type: Grant
    Filed: July 28, 2014
    Date of Patent: October 3, 2017
    Assignee: ASM IP HOLDING B.V.
    Inventor: Taku Omori
  • Patent number: 9772296
    Abstract: In a method of inspecting a surface of a substrate, a first surface image of the substrate before loaded into a process chamber may be obtained. The first surface image may be processed to detect a defect on the surface of the substrate. Thus, the surfaces of all of the substrate may be inspected during a process may be performed without transferring the substrates.
    Type: Grant
    Filed: August 15, 2014
    Date of Patent: September 26, 2017
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Byung-Bok Kang, Seok-Min Kang, Bon-Ok Koo, Kyoung-Hwan Kim, Myung-Woo Kim, In-Gi Kim, Hyun-Chul Kim, Sung-Ki Roh, Gyung-Jin Min, Eun-Seok Lee, Jin-Suk Hong
  • Patent number: 9767548
    Abstract: Methods and systems for identifying outliers in multiple instances of a pattern of interest (POI) are provided. One system includes one or more computer subsystems configured for acquiring images generated by an imaging subsystem at multiple instances of a POI within a die formed on the specimen. The multiple instances include two or more instances that are located at aperiodic locations within the die. The computer subsystem(s) are also configured for determining a feature of each of the images generated at the multiple instances of the POI. In addition, the computer subsystem(s) are configured for identifying one or more outliers in the multiple instances of the POI based on the determined features.
    Type: Grant
    Filed: April 21, 2016
    Date of Patent: September 19, 2017
    Assignee: KLA-Tencor Corp.
    Inventors: Saibal Banerjee, Ashok V. Kulkarni
  • Patent number: 9760673
    Abstract: Various embodiments include approaches for analyzing a customer design for an application specific integrated circuit (ASIC). In some cases, an approach includes: determining performance requirements of the customer design; querying a test screen database for the performance requirements of the customer design, the test screen database having failure thresholds and associated test screens for detecting the failure thresholds for a set of ASIC devices; generating a filter database including select failure thresholds and associated test screens for the performance requirements of the customer design; and selecting a set of test screens from the filter database based upon a yield cost criteria in forming the ASIC.
    Type: Grant
    Filed: February 1, 2016
    Date of Patent: September 12, 2017
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Eric D. Hunt-Schroeder, John R. Goss, Igor Arsovski, Paul J. Grzymkowski
  • Patent number: 9737971
    Abstract: A chemical mechanical polishing pad, polishing layer analyzer is provided, wherein the analyzer is configured to detect macro inhomogeneities is polymeric sheets and to classify the polymeric sheets as either acceptable or suspect.
    Type: Grant
    Filed: January 12, 2016
    Date of Patent: August 22, 2017
    Assignees: Rohm and Haas Electronic Materials CMP Holdings, Inc., Dow Global Technologies LLC
    Inventors: Francis V. Acholla, Andrew Wank, Mark Gazze, Scott Chang, Jeff Tsai, William A. Heeschen, James David Tate, Leo H. Chiang, Swee-Teng Chin
  • Patent number: 9727123
    Abstract: Techniques for power Field Effect Transistor (power-FET) gate drivers are described herein. In an example embodiment, a device comprises a Universal Serial Bus (USB) subsystem that is disposed in a monolithic integrated circuit (IC). The USB subsystem comprises a gate-driver circuit configured to selectively control an external N-channel power-FET or an external P-channel power-FET.
    Type: Grant
    Filed: July 1, 2016
    Date of Patent: August 8, 2017
    Assignee: Cypress Semiconductor Corporation
    Inventors: Anup Nayak, Ramakrishna Venigalla
  • Patent number: 9727404
    Abstract: A system and method for remote maintenance of user units allows efficient diagnosis of failures in a reduced time. Each user unit transmits to a management server, via a network, state data related to hardware and software parameters associated to an operating mode of the user unit. The method includes: storing state data in a user unit memory, monitoring state data stored in the memory, and detecting at least one datum of a state indicating an operational failure of the user unit. When a failure is detected, state data corresponding to current states of the user unit at the moment of the failure and state data corresponding to states stored during a predetermined period before the failure are extracted and transmitted to the management server which determines a statistic correlation coefficient between the values of each state of a user unit and the values of states of other user units.
    Type: Grant
    Filed: June 13, 2014
    Date of Patent: August 8, 2017
    Assignee: OPENTV, INC.
    Inventor: Alain Delpuch
  • Patent number: 9690982
    Abstract: Systems and method described herein present techniques for identifying a gesture using gesture data compressed by principal joint variable analysis. A classifier of a gesture recognition system may receive a frame comprising a set of gesture data points identifying locations of body parts of a subject. The classifier may determining that a subset of the set of gesture data points is sufficient to recognize a first gesture. The subset may be stored into a database in reference to the first gesture. A recognizer may receive a new frame of new gesture data points identifying locations of body parts of a new subject. The recognizer may recognize that the gesture of the new subject corresponds to the first gesture responsive to comparing at least one new gesture data point from the new frame to at least one gesture data point of the subset.
    Type: Grant
    Filed: August 18, 2016
    Date of Patent: June 27, 2017
    Assignee: ARB LABS INC.
    Inventor: Adrian Bulzacki
  • Patent number: 9672273
    Abstract: In an approach for creating a machine-to-machine device model based on ontological relationship, one or more computer processors determine a plurality of characteristics of one or more machine-to-machine devices within a machine-to-machine communication environment. The one or more computer processors determine one or more ontological relationships between the one or more machine-to-machine devices and the plurality of characteristics of the one or more machine-to-machine devices. The one or more computer processors create a semantic device model, based, at least in part, on the one or more ontological relationships between the one or more machine-to-machine devices and the plurality of characteristics of the one or more machine-to-machine devices.
    Type: Grant
    Filed: October 27, 2014
    Date of Patent: June 6, 2017
    Assignee: International Business Machines Corporation
    Inventors: Shalini Kapoor, Amit M. Mangalvedkar, Vishwanath Narayan, Pallavi Nishtala, Sivananthan Perumal
  • Patent number: 9666304
    Abstract: A method of testing a semiconductor memory device is provided. The method includes performing a test according to a plurality of cases corresponding to a first generation and generating modeled test results for the plurality of cases, determining optimum cases from among the plurality of cases based on the modeled test results, and generating a plurality of cases corresponding to a second generation based on the optimum cases.
    Type: Grant
    Filed: April 1, 2015
    Date of Patent: May 30, 2017
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sea Eun Park, Sung Hee Yun
  • Patent number: 9653269
    Abstract: A method and apparatus for detecting substrate arcing and breakage within a processing chamber is provided. A controller monitors chamber data, e.g., parameters such as RF signals, voltages, and other electrical parameters, during operation of the processing chamber, and analyzes the chamber data for abnormal spikes and trends. Using such data mining and analysis, the controller can detect broken substrates without relying on glass presence sensors on robots, but rather based on the chamber data.
    Type: Grant
    Filed: August 13, 2014
    Date of Patent: May 16, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Shuo Na, Kelby Yancy, Chunsheng Chen, Ilias Iliopoulos