Filling Of Holes, Grooves, Vias Or Trenches With Conductive Material (epo) Patents (Class 257/E21.585)
  • Publication number: 20120086132
    Abstract: Provided is a method of manufacturing a via electrode by which productivity and production yield can be augmented or maximized. The method of the present invention includes: forming a via hole at a substrate; forming a catalyst layer at a sidewall and a bottom of the via hole; and forming a graphene layer in the via hole by exposing the catalyst layer to a solution mixed with graphene particles.
    Type: Application
    Filed: October 6, 2011
    Publication date: April 12, 2012
    Applicant: Electronics and Telecommunications Research Institute
    Inventors: Dong-Pyo Kim, Kyu-Ha Baek, Kunsik Park, Ji Man Park, Zin Sig Kim, Joo Yeon Kim, Ye Sul Jeong, Lee-Mi Do
  • Patent number: 8153522
    Abstract: A method of forming a mask for use in fabricating an integrated circuit includes forming first non-removable portions of a photoresist material through a mask having a plurality of apertures, shifting the mask, forming second non-removable second portions of the photoresist material overlapping the first portions, and removing removable portions of the photoresist material arranged between the first and second portions. The formed photoresist mask may be used to form vias in an integrated circuit. The pattern of vias produced have the capability to exceed the current imaging resolution of a single exposure treatment.
    Type: Grant
    Filed: March 2, 2010
    Date of Patent: April 10, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Anton Devilliers, Michael Hyatt
  • Patent number: 8148257
    Abstract: One or more embodiments relate to a method of forming an electronic device, comprising: providing a workpiece; forming a first barrier layer over the workpiece; forming an intermediate conductive layer over the first barrier layer; forming a second barrier layer over the intermediate conductive layer; forming a seed layer over the second barrier layer; removing a portion of the seed layer to leave a remaining portion of the seed layer and to expose a portion of the second barrier layer; and electroplating a fill layer on the remaining portion of the seed layer.
    Type: Grant
    Filed: September 30, 2010
    Date of Patent: April 3, 2012
    Assignee: Infineon Technologies AG
    Inventors: Hans-Joachim Barth, Gottfried Beer, Joern Plagmann, Jens Pohl, Werner Robl, Rainer Steiner, Mathias Vaupel
  • Publication number: 20120068179
    Abstract: According to embodiments, there is provided a semiconductor device, including: a logic circuit; an interlayer insulating film formed above the logic circuit; an amorphous silicon layer including: a non-silicide layer formed on the interlayer insulating film; and a silicide layer formed on the non-silicide layer; a TFT formed on the amorphous silicon layer; and a contact plug formed to plug a through hole penetrating the interlayer insulating film, the contact plug being electrically connected to the logic circuit, an upper part of the contact plug being connected to the silicide layer.
    Type: Application
    Filed: March 18, 2011
    Publication date: March 22, 2012
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Tatsuya Ishida, Masahiro Inohara
  • Publication number: 20120071037
    Abstract: An embodiment of an elastic contact device for electrically contacting electronic components is proposed. The contact device includes at least one basic module, which has a longitudinal axis. In turn, each basic module includes an elastic core for defining an elasticity of the basic module; the elastic core undergoes an axial elastic deformation in response to an axial compression. A first contact terminal element and a second contact terminal element are coupled with the elastic core in axially opposed positions. Moreover, one or more elongated contact elements extend axially between the first terminal element and the second terminal element.
    Type: Application
    Filed: January 20, 2010
    Publication date: March 22, 2012
    Applicant: RISE TECHNOLOGY S.R.L.
    Inventor: Marco Balucani
  • Publication number: 20120070978
    Abstract: A miniaturized semiconductor device is provided by reducing the design thickness of a wiring line protecting film covering the surface of a wiring layer, and reducing the distance between the wiring layer and via plugs formed by a self-aligning process. Dummy mask layers extending in the same layout pattern as the wiring layer is formed above the wiring layer covered with a protecting film composed of a cap layer and side wall layers. In the self-aligning process for forming via plugs in a self-aligned manner with the wiring layer and its protecting film, the thickness of the cap layer is reduced and the design interval between the via plugs is reduced, whereby the miniaturization of the semiconductor device is achieved.
    Type: Application
    Filed: November 23, 2011
    Publication date: March 22, 2012
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Hirotaka KOBAYASHI
  • Publication number: 20120070979
    Abstract: The disclosure relates generally to semiconductor device fabrication, and more particularly to methods of electroplating used in semiconductor device fabrication. A method of electroplating includes: immersing an in-process substrate into an electrolytic plating solution to form a first metal layer on the in-process substrate; then performing a first chemical-mechanical polish to a liner on the in-process substrate followed by immersing the in-process substrate into the electrolytic plating solution to form a second metal layer on the first metal layer and the liner; and performing a second chemical-mechanical polish to the liner.
    Type: Application
    Filed: September 22, 2010
    Publication date: March 22, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Felix P. Anderson, Zhong-Xiang He, Anthony K. Stamper, Eric J. White
  • Publication number: 20120070983
    Abstract: A method of manufacturing a semiconductor device is presented. The device has: a gate terminal formed from polysilicon and covered by an insulation layer; and a plug extending through an insulation layer to provide an electrical connection to the gate trench. A metal layer is deposited to cover at least a portion of the insulation layer. The metal layer is then etched to remove the metal layer from above the plug.
    Type: Application
    Filed: September 20, 2011
    Publication date: March 22, 2012
    Applicant: NXP B.V.
    Inventors: Philip Rutter, Christopher Martin Rogers
  • Publication number: 20120070986
    Abstract: Provided is a semiconductor device, which includes an interlayer insulating film formed on a semiconductor substrate, a wiring layer filled in a recess formed in the interlayer insulating film, and a cap insulating film. The interlayer insulating film includes a first SiOCH film and a surface modification layer including an SiOCH film formed by modifying a surface layer of the first SiOCH film, the SiOCH film having a lower carbon concentration and a higher oxygen concentration than the first SiOCH film has. The cap insulating film contacts with surfaces of the metal wiring and the surface modification layer.
    Type: Application
    Filed: November 22, 2011
    Publication date: March 22, 2012
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventors: Makoto UEKI, Takahiro ONODERA, Yoshihiro HAYASHI
  • Patent number: 8138095
    Abstract: Substrate processing apparatus 100 includes supporting table 103 for not only supporting a target substrate W but also heating the target substrate W; processing chamber 101 having the supporting table disposed therein; and gas supply unit 102 for supplying a processing gas into the processing chamber 101. The processing gas includes organic acid metal complex or organic acid metal salt.
    Type: Grant
    Filed: March 13, 2007
    Date of Patent: March 20, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Hidenori Miyoshi
  • Patent number: 8138082
    Abstract: A semiconductor device includes an interconnect having electrically conductive portions and a dielectric layer made of a first dielectric material. A trench is formed in the dielectric layer. The exposed portions of the dielectric layer which form the side walls of the trench are removed. A dielectric liner is then deposited on the side walls of the trench, the liner being made of a second dielectric material.
    Type: Grant
    Filed: February 26, 2007
    Date of Patent: March 20, 2012
    Assignees: STMicroelectronics (Crolles 2) SAS, Koninkljike Philips Electronics N.V.
    Inventors: Joaquin Torres, Vincent Arnal, Laurent-Georges Gosset, Wim Besling
  • Patent number: 8138036
    Abstract: A through silicon via structure and a method of fabricating the through silicon via. The method includes: (a) forming a trench in a silicon substrate, the trench open to a top surface of the substrate; (b) forming a silicon dioxide layer on sidewalls of the trench, the silicon dioxide layer not filling the trench; (c) filling remaining space in the trench with polysilicon; after (c), (d) fabricating at least a portion of a CMOS device in the substrate; (e) removing the polysilicon from the trench, the dielectric layer remaining on the sidewalls of the trench; (f) re-filling the trench with an electrically conductive core; and after (f), (g) forming one or more wiring layers over the top surface of the substrate, a wire of a wiring level of the one or more wiring levels closet to the substrate contacting a top surface of the conductive core.
    Type: Grant
    Filed: August 8, 2008
    Date of Patent: March 20, 2012
    Assignee: International Business Machines Corporation
    Inventors: Paul Stephen Andry, Edmund Juris Sprogis, Cornelia Kang-I Tsang
  • Patent number: 8138084
    Abstract: Methods and an apparatus are described for an integrated circuit within which an electroless Cu plated layer having an oxygen content is formed on the top of a seed layer comprising Cu and Mn. The integrated circuit is then exposed to a sufficient high temperature to cause the self-formation of a MnSiOx barrier layer.
    Type: Grant
    Filed: December 23, 2009
    Date of Patent: March 20, 2012
    Assignee: Intel Corporation
    Inventor: Rohan N. Akolkar
  • Publication number: 20120064717
    Abstract: In a CVD-Ru film forming method, an Ru-film is formed on a substrate by means of CVD using a ruthenium carbonyl as a film-forming material before forming a Cu film. Then the substrate on which the aforementioned Ru film is formed is annealed in a hydrogen containing atmosphere.
    Type: Application
    Filed: September 12, 2011
    Publication date: March 15, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Takara KATO, Yasushi Mizusawa, Tatsuo Hatano, Atsushi Gomi, Chiaki Yasumuro, Osamu Yokoyama
  • Publication number: 20120061821
    Abstract: A semiconductor chip with conductive vias and a method of manufacturing the same are disclosed. The method includes forming a first plurality of conductive vias in a layer of a first semiconductor chip. The first plurality of conductive vias includes first ends and second ends. A first conductor pad is formed in ohmic contact with the first ends of the first plurality of conductive vias.
    Type: Application
    Filed: September 9, 2010
    Publication date: March 15, 2012
    Inventors: Bryan Black, Michael Z. Su, Gamal Refai-Ahmed, Joe Siegel, Seth Prejean
  • Publication number: 20120064714
    Abstract: Disclosed are embodiments of a contact formation technique that incorporates a preventative etch step to reduce interlayer dielectric material flaking (e.g., borophosphosilicate glass (BPSG) flaking) and, thereby to reduce surface defects. Specifically, contact openings, which extend through a dielectric layer to semiconductor devices in and/or on a center portion of a substrate, can be filled with a conductor layer deposited by chemical vapor deposition (CVD). Chemical mechanical polishing (CMP) of the conductor layer can be performed to complete the contact structures. However, before the CMP process is performed (e.g., either before the contact openings are ever formed or before the contact openings are filled), a preventative etch process can be performed to remove any dielectric material from above the edge portion of the substrate. Removing the dielectric material from above the edge portion of the substrate prior to CMP reduces the occurrence of surface defects caused by dielectric material flaking.
    Type: Application
    Filed: September 13, 2010
    Publication date: March 15, 2012
    Applicant: International Business Machines Corporation
    Inventors: Yoba Amoah, Brian M. Czabaj, Thomas J. Dunbar, Jeffrey P. Gambino, Molly J. Leitch, Polina A. Razina
  • Patent number: 8133812
    Abstract: This invention pertains to methods and systems for fabricating semiconductor devices. One aspect of the present invention is a method of depositing a gapfill copper layer onto a barrier layer for semiconductor device metallization. In one embodiment, the method includes forming the barrier layer on a surface of a substrate and subjecting the barrier layer to a process condition so as to form a removable passivated surface on the barrier layer. The method further includes removing the passivated surface from the barrier layer and depositing the gapfill copper layer onto the barrier layer. Another aspect of the present invention is an integrated system for depositing a copper layer onto a barrier layer for semiconductor device metallization.
    Type: Grant
    Filed: September 18, 2009
    Date of Patent: March 13, 2012
    Assignee: Lam Research Corporation
    Inventors: Yezdi Dordi, John Boyd, Fritz Redeker, William Thie, Tiruchirapalli Arunagiri, Alex Yoon
  • Patent number: 8134234
    Abstract: Back end of line interconnect structures and methods of making a back end of line interconnect structure are provided. The back end of line interconnect structure contains a first interconnect layer containing a first conductive feature and a first dielectric layer; a first cap layer over the first interconnect layer, and a second interconnect layer over the first cap layer. The second interconnect layer contains a second conductive feature, a second dielectric layer, and two or more barrier layers therebetween. The two or more barrier layers contain a first barrier layer over the second dielectric layer and a MnOx-containing barrier layer over the first barrier layer. Containing the MnOx-containing barrier layer, the back end of line interconnect structure can prevent and/or mitigate diffusion of conductive material of the second conductive feature therethrough.
    Type: Grant
    Filed: June 18, 2009
    Date of Patent: March 13, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Atsunobu Isobayashi
  • Patent number: 8133777
    Abstract: A method of fabricating a memory is provided. A substrate including a memory region and a periphery region is provided. A plurality of gates each having spacers is formed on the substrate. A plurality of openings is formed between the gates in the memory region. A first material layer is formed in the memory region to cover the gates and fill the openings. A barrier layer is formed on the substrate to cover the gates in the periphery region and the first material layer in the memory region. A second material layer is formed on the substrate in the periphery region to cover the barrier layer in the periphery region. The barrier layer covering the first material layer is removed. The first material layer is partially removed to form a plurality of second openings. Each second opening is disposed on a top of the gate in the memory region.
    Type: Grant
    Filed: February 15, 2011
    Date of Patent: March 13, 2012
    Assignee: Winbond Electronics Corp.
    Inventors: Lu-Ping Chiang, Hsiu-Han Liao
  • Publication number: 20120058637
    Abstract: A method of manufacturing a semiconductor device, includes: forming a first and second interconnect trenches adjacent to each other in an interlayer insulating film; providing a first interconnect and a space thereon within the first interconnect trench, and a second interconnect and a space thereon within the second interconnect trench; forming a first trench larger in width from the first interconnect trench and a second trench larger in width from the second interconnect trench, by conducting isotropic-etching; and forming a first insulating film within the first trench and a second insulating film within the second trench by filling an insulating material in the first trench and the second trench.
    Type: Application
    Filed: August 25, 2011
    Publication date: March 8, 2012
    Applicant: Elpida Memory, Inc.
    Inventor: Toshiyuki HIROTA
  • Patent number: 8129791
    Abstract: There is provided a semiconductor device that includes: a transistor having a gate electrode, a source region, and a drain region; a first inter-layer insulation film covering the transistor; a first contact plug formed penetrating through the first inter-layer insulation film and connected to either the source region or the drain region; a second inter-layer insulation film covering the first contact plug; a groove extending in the second inter-layer insulation film in a same direction as an extending direction of the gate electrode and exposing a top surface of the first contact plug at a bottom thereof; a second contact plug connected to the first contact plug and formed in the groove; and a wiring pattern extending on the second inter-layer insulation film so as to traverse the groove and integrated with the second contact plug.
    Type: Grant
    Filed: August 21, 2009
    Date of Patent: March 6, 2012
    Assignee: Elpida Memory, Inc.
    Inventor: Atsushi Maekawa
  • Patent number: 8129268
    Abstract: A method of fabricating a lower bottom electrode for a memory element and a semiconductor structure having the same includes forming a dielectric layer over a semiconductor substrate having a plurality of conductive contacts formed therein to be connected to access circuitry, forming a dielectric cap layer over exposed portions of the dielectric layer and the conductive contacts, depositing a planarizing material over the dielectric cap layer, etching a via to an upper surface of each conductive contact, removing the planarizing material, depositing electrode material over the dielectric cap layer and within the vias, the electrode material contacting an upper surface of each conductive contact, and planarizing the electrode material to form a lower bottom electrode over each conductive contact.
    Type: Grant
    Filed: November 16, 2009
    Date of Patent: March 6, 2012
    Assignee: International Business Machines Corporation
    Inventor: Matthew J. Breitwisch
  • Publication number: 20120049322
    Abstract: A device includes a substrate having a front surface and a back surface opposite the front surface. A capacitor is formed in the substrate and includes a first capacitor plate; a first insulation layer encircling the first capacitor plate; and a second capacitor plate encircling the first insulation layer. Each of the first capacitor plate, the first insulation layer, and the second capacitor plate extends from the front surface to the back surface of the substrate.
    Type: Application
    Filed: September 1, 2010
    Publication date: March 1, 2012
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: An-Jhih Su, Chi-Chun Hsieh, Tzu-Yu Wang, Wei-Cheng Wu, Hsien-Pin Hu, Shang-Yun Hou, Wei-Chih Chiou, Shin-Puu Jeng
  • Publication number: 20120052678
    Abstract: A method of removing a metal nitride material is disclosed. The method comprises forming a semiconductor device structure comprising an exposed metal material and an exposed metal nitride material. The semiconductor device structure is subjected to a solution comprising water, ozone, and at least one additive to remove the exposed metal nitride material at a substantially greater rate than the exposed metal material.
    Type: Application
    Filed: August 31, 2010
    Publication date: March 1, 2012
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Sanjeev Sapra, Janos Fucsko
  • Patent number: 8124527
    Abstract: The present invention generally relates to the formation of a micro-electromechanical system (MEMS) cantilever switch in a complementary metal oxide semiconductor (CMOS) back end of the line (BEOL) process. The cantilever switch is formed in electrical communication with a lower electrode in the structure. The lower electrode may be either blanket deposited and patterned or simply deposited in vias or trenches of the underlying structure. The excess material used for the lower electrode is then planarized by chemical mechanical polishing or planarization (CMP). The cantilever switch is then formed over the planarized lower electrode.
    Type: Grant
    Filed: February 28, 2011
    Date of Patent: February 28, 2012
    Assignee: Cavendish Kinetics, Inc.
    Inventors: Joseph Damian Gordon Lacey, Thomas L. Maguire, Vikram Joshi, Dennis J. Yost
  • Publication number: 20120045896
    Abstract: Some embodiments include methods of forming openings. For instance, a construction may have a material over a plurality of electrically conductive lines. A plurality of annular features may be formed over the material, with the annular features crossing the lines. A patterned mask may be formed over the annular features, with the patterned mask leaving segments of the annular features exposed through a window in the patterned mask. The exposed segments of the annular features may define a plurality of openings, and such openings may be transferred into the material to form openings extending to the electrically conductive lines.
    Type: Application
    Filed: August 20, 2010
    Publication date: February 23, 2012
    Inventors: Vishal Sipani, Baosuo Zhou, Ming-Chuan Yang
  • Patent number: 8119519
    Abstract: A method for making a semiconductor device including at least three interconnection layers sequentially stacked without intervention of a via layer. At least one of the interconnection layers includes an interconnection and a via which connects interconnections provided in interconnection layers underlying and overlying the one interconnection layer.
    Type: Grant
    Filed: November 12, 2010
    Date of Patent: February 21, 2012
    Assignee: Rohm Co., Ltd.
    Inventor: Satoshi Kageyama
  • Patent number: 8119486
    Abstract: A method according to example embodiments includes forming isolation regions in a substrate, the isolation regions defining active regions. Desired regions of the active regions and the isolation regions are removed, thereby forming recess channel trenches to a desired depth. The recess channel trenches are fog to have a first region in contact with the active regions and a second region in contact with the isolation regions. A width of a bottom surface of the recess channel trenches is less than that of a top surface thereof. The active regions and the isolation regions are annealed to uplift the bottom surface of the recess channel trenches. An area of the bottom surface of the first region is increased. A depth of the bottom surface of the first region is reduced.
    Type: Grant
    Filed: January 4, 2011
    Date of Patent: February 21, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Pil Kim, Eun-Ae Chung, Gab-Jin Nam, Hee-Don Hwang, Ji-Young Min
  • Patent number: 8119448
    Abstract: A semiconductor chip comprises a substrate including a front surface and a rear surface, the substrate having a first via hole formed in the front surface and a second via hole formed in the rear surface, a first conductive plug formed on the substrate, the first conductive plug including a first portion formed in the first via hole and a second portion protruding from the front surface of the substrate, and a second conductive plug formed on the first conductive plug, the second conductive plug having a smaller cross-sectional area than the first conductive plug.
    Type: Grant
    Filed: June 17, 2010
    Date of Patent: February 21, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Son-Kwan Hwang, In-Young Lee, Ho-Jin Lee, Dong-Hyeon Jang
  • Publication number: 20120040529
    Abstract: A liquid composition comprising (A) at least one polar organic solvent, selected from the group consisting of solvents exhibiting in the presence of from 0.06 to 4% by weight of dissolved tetramethylammonium hydroxide (B), the weight percentage being based on the complete weight of the respective test solution (AB), a constant removal rate at 50° C. for a 30 nm thick polymeric barrier anti-reflective layer containing deep UV absorbing chromophoric groups, (B) at least one quaternary ammonium hydroxide, and (C) at least one aromatic amine containing at least one primary amino group, a method for its preparation and a method for manufacturing electrical devices, employing the liquid composition as a resist stripping composition and its use for removing negative-tone and positive-tone photoresists and post etch residues in the manufacture of 3D Stacked Integrated Circuits and 3D Wafer Level Packagings by way of patterning Through Silicon Vias and/or by plating and bumping.
    Type: Application
    Filed: April 20, 2010
    Publication date: February 16, 2012
    Applicant: BASF SE
    Inventor: Andreas Klipp
  • Publication number: 20120038000
    Abstract: A semiconductor device manufacturing method includes forming a first stopper film and a second stopper film over a first stress film; etching, with a first mask covering a first region and with the first stopper film, the second stopper film in a second region while side-etching the second stopper film in a part of the first region near the second region; forming a second stress film whose etching characteristic is different from the second stopper film; etching, with a second mask covering the second region and having an end face located over the second stopper film and with the second stopper film, the second stress film so that a part of the second stress film overlaps a part of the first stress film and a part of the second stopper film; and forming a contact hole down to the gate interconnect.
    Type: Application
    Filed: May 2, 2011
    Publication date: February 16, 2012
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventor: Tomoyuki Kirimura
  • Publication number: 20120038051
    Abstract: A buried local interconnect and method of forming the same counterdopes a region of a doped substrate to form a counterdoped isolation region. A hardmask is formed and patterned on the doped substrate, with a recess being etched through the patterned hardmask into the counterdoped region. Dielectric spacers are formed on the sidewalls of the recess, with a portion of the bottom of the recess being exposed. A metal is then deposited in the recess and reacted to form silicide at the bottom of the recess. The recess is filled with fill material, which is polished. The hardmask is then removed to form a silicide buried local interconnect.
    Type: Application
    Filed: October 26, 2011
    Publication date: February 16, 2012
    Applicants: SPANSION LLC, ADVANCED MICRO DEVICES, INC.
    Inventors: Arvind Halliyal, Zoran Krivokapic, Matthew S. Buynoski, Nicholas H. Tripsas, Minh Van Ngo, Mark T. Ramsbey, Jeffery A. Shields, Jusuke Ogura
  • Publication number: 20120040524
    Abstract: A process for making a copper post with footing profile employs dual photoresist films of different photosensitivities and thicknesses on an under-bump-metallurgy (UBM) layer. After an exposure lithography process, a first opening with a substantially vertical sidewall is formed in a first photoresist film, and a second opening with a sloped sidewall is formed in a second photoresist film. The second opening has a top diameter and a bottom diameter greater than the top diameter, and the bottom diameter is greater than the diameter of the first opening. A conductive layer is then formed in the first opening and the second opening followed by removing the dual photoresist films.
    Type: Application
    Filed: August 12, 2010
    Publication date: February 16, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chen-Cheng KUO, Chen-Shien CHEN
  • Publication number: 20120037962
    Abstract: Disclosed are embodiments of a semiconductor structure having a contact-level air gap within the interlayer dielectrics above a semiconductor device in order to minimize parasitic capacitances (e.g., contact-to-contact capacitance, contact-to-diffusion region capacitance, gate-to-contact capacitance, gate-to-diffusion region capacitance, etc.). Specifically, the structure can comprise a semiconductor device on a substrate and at least three dielectric layers stacked above the semiconductor device. An air gap is positioned with the second dielectric layer aligned above the semiconductor device and extending vertically from the first dielectric layer to the third dielectric layer. Also disclosed are embodiments of a method of forming such a semiconductor structure using a self-assembly approach.
    Type: Application
    Filed: August 11, 2010
    Publication date: February 16, 2012
    Applicant: International Business Machines Corporation
    Inventors: Gregory Breyta, David V. Horak, Elbert E. Huang, Charles W. Koburger, III, Douglas C. La Tulipe, JR., Shom Ponoth
  • Publication number: 20120040528
    Abstract: A lower layer of a microelectronic device may be patterned by forming a first sacrificial layer on the lower layer; patterning a plurality of spaced apart trenches in the first sacrificial layer; forming a second sacrificial layer in the plurality of spaced apart trenches; patterning the second sacrificial layer in the plurality of spaced apart trenches to define upper openings in the plurality of spaced apart trenches; and patterning the lower layer using the first and second sacrificial layers as a mask to form lower openings in the lower layer.
    Type: Application
    Filed: April 14, 2011
    Publication date: February 16, 2012
    Inventors: Nam-Gun Kim, Yoonjae Kim, Sungil Cho
  • Patent number: 8114736
    Abstract: A method for forming an integrated circuit system is provided including forming a memory section having a spacer with a substrate, forming an outer doped region of the memory section in the substrate, forming a barrier metal layer over the spacer, and forming a metal plug over the outer doped region and the barrier metal layer.
    Type: Grant
    Filed: December 17, 2007
    Date of Patent: February 14, 2012
    Assignees: Globalfoundries Inc., Spansion LLC
    Inventors: Simon Siu-Sing Chan, Hidehiko Shiraiwa, Kuo-Tung Chang, Angela T. Hui
  • Publication number: 20120032326
    Abstract: A silicon substrate has a conductive via extending from a first surface of the silicon substrate through the silicon substrate to a second surface of the silicon substrate. A dielectric via extends from the second surface of the silicon substrate toward the first surface of the silicon substrate.
    Type: Application
    Filed: August 3, 2010
    Publication date: February 9, 2012
    Applicant: XILINX, INC.
    Inventors: Namhoon Kim, Dong W. Kim, Paul Y. Wu
  • Publication number: 20120032238
    Abstract: An exemplary structure for a field effect transistor according to at least one embodiment comprises a substrate comprising a surface; a gate structure comprising sidewalls and a top surface over the substrate; a spacer adjacent to the sidewalls of the gate structure; a first contact etch stop layer over the spacer and extending along the surface of the substrate; an interlayer dielectric layer adjacent to the first contact etch stop layer, wherein a top surface of the interlayer dielectric layer is coplanar with the top surface of the gate structure; and a second contact etch stop layer over the top surface of the gate structure.
    Type: Application
    Filed: August 3, 2010
    Publication date: February 9, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Lee-Wee TEO, Ming ZHU, Bao-Ru YOUNG, Harry-Hak-Lay CHUANG
  • Publication number: 20120032336
    Abstract: A method of fabricating an interconnect structure is provided. The method includes forming a hybrid photo-patternable dielectric material atop a substrate. The hybrid photo-patternable dielectric material has dual-tone properties with a parabola like dissolution response to radiation. The hybrid photo-patternable dielectric material is then image-wise exposed to radiation such that a self-aligned pitch split pattern forms. A portion of the self-aligned split pattern is removed to provide a patterned hybrid photo-patternable dielectric material having at least one opening therein. The patterned hybrid photo-patternable dielectric material is then converted into a cured and patterned dielectric material having the at least one opening therein. The at least one opening within the cured and patterned dielectric material is then filed with at least an electrically conductive material. Also provided are a hybrid photo-patternable dielectric composition and an interconnect structure.
    Type: Application
    Filed: August 5, 2010
    Publication date: February 9, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: Qinghuang Lin
  • Publication number: 20120032334
    Abstract: Provided is a method of fabricating a semiconductor device. The method includes providing a substrate. The method includes forming a portion of an interconnect structure over the substrate. The portion of the interconnect structure has an opening. The method includes obtaining a boron-containing gas that is free of a boron-10 isotope. The method includes filling the opening with a conductive material to form a contact. The filling of the opening is carried out using the boron-containing gas. Also provided is a semiconductor device. The semiconductor device includes a substrate. The semiconductor device includes an interconnect structure formed over the substrate. The semiconductor device includes a conductive contact formed in the interconnect structure. The conductive contact has a material composition that includes Tungsten and Boron, wherein the Boron is a 11B-enriched Boron.
    Type: Application
    Filed: February 22, 2011
    Publication date: February 9, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMAPNY, LTD.
    Inventors: Yung-Huei Lee, Chou-Jie Tsai, Chia-Fang Wu, Jang Jung Lee, Wei-Cheng Chu, Dong Gui
  • Patent number: 8110477
    Abstract: A semiconductor device is made by providing an integrated passive device (IPD). Through-silicon vias (TSVs) are formed in the IPD. A capacitor is formed over a surface of the IPD by depositing a first metal layer over the IPD, depositing a resistive layer over the first metal layer, depositing a dielectric layer over the first metal layer, and depositing a second metal layer over the resistive and dielectric layers. The first metal layer and the resistive layer are electrically connected to form a resistor and the first metal layer forms a first inductor. A wafer supporter is mounted over the IPD using an adhesive material and a third metal layer is deposited over the IPD. The third metal layer forms a second inductor that is electrically connected to the capacitor and the resistor by the TSVs of the IPD. An interconnect structure is connected to the IPD.
    Type: Grant
    Filed: June 29, 2010
    Date of Patent: February 7, 2012
    Assignee: STATS ChipPAC, Ltd.
    Inventors: Yaojian Lin, Jianmin Fang, Kang Chen, Haijing Cao
  • Patent number: 8105907
    Abstract: To provide a manufacturing method of a semiconductor memory device, the method including forming contact plugs to be connected to a drain region or a source region of each of transistors, by using a SAC line technique of selectively etching an insulation layer that covers each of the transistors by using a mask having a line-shaped opening provided across the contact plugs. Each of the transistors constituting a sense amplifier that amplifies a potential difference between bit lines is a ring-gate transistor.
    Type: Grant
    Filed: January 29, 2010
    Date of Patent: January 31, 2012
    Assignee: Elpida Memory, Inc.
    Inventors: Eiji Hasunuma, Shigeru Shiratake, Takeshi Ohgami
  • Patent number: 8105941
    Abstract: A through-wafer interconnect and a method for fabricating the same are disclosed. The method starts with a conductive wafer to form a patterned trench by removing material of the conductive wafer. The patterned trench extends in depth from the front side to the backside of the wafer, and has an annular opening generally dividing the conductive wafer into an inner portion and an outer portion whereby the inner portion of the conductive wafer is insulated from the outer portion and serves as a through-wafer conductor. A dielectric material is formed or added into the patterned trench mechanical to support and electrically insulate the through-wafer conductor. Multiple conductors can be formed in an array.
    Type: Grant
    Filed: May 18, 2006
    Date of Patent: January 31, 2012
    Assignee: Kolo Technologies, Inc.
    Inventor: Yongli Huang
  • Patent number: 8106515
    Abstract: An embodiment of the invention provides a method of creating local metallization in a semiconductor structure, and the use of local metallization so created in semiconductor structures. In one respect, the method includes forming an insulating layer on top of a semiconductor substrate; creating a plurality of voids inside the insulating layer, with the plurality of voids spanning across a predefined area and being substantially confined within a range of depth below a top surface of the insulating layer; creating at least one via hole in the insulating layer, with the via hole passing through the predefined area; and filling the via hole, and the plurality of voids inside the insulating layer through at least the via hole, with a conductive material to form a local metallization. A semiconductor structure having the local metallization is also provided.
    Type: Grant
    Filed: June 8, 2010
    Date of Patent: January 31, 2012
    Assignee: International Business Machines Corporation
    Inventors: Jeffery B. Maxson, Aurelia A. Suwarno-Handayana, Shamas M. Ummer, Kenneth J. Giewont, Scott Richard Stiffler
  • Publication number: 20120018893
    Abstract: A method of fabricating a microelectronic unit includes providing a semiconductor element having a front surface and a rear surface remote from the front surface, forming at least one first opening extending from the rear surface partially through the semiconductor element towards the front surface by directing a jet of fine abrasive particles towards the semiconductor element, and forming at least one conductive contact and at least one conductive interconnect coupled thereto. The semiconductor element can include a plurality of active semiconductor devices therein. The semiconductor element can include a plurality of conductive pads exposed at the front surface. Each conductive interconnect can extend within one or more of the first openings and can be coupled directly or indirectly to at least one of the conductive pads. Each of the conductive contacts can be exposed at the rear surface of the semiconductor element for electrical connection to an external device.
    Type: Application
    Filed: July 23, 2010
    Publication date: January 26, 2012
    Applicant: TESSERA RESEARCH LLC
    Inventors: Vage Oganesian, Ilyas Mohammed, Craig Mitchell, Belgacem Haba, Piyush Savalia
  • Publication number: 20120018889
    Abstract: A process for producing an upper metallization level and a via level connecting this upper metallization level to a lower metallization level includes: producing an insulating region on the lower metallization level; producing a hard mask on the insulating region (4, 5) defining the position of the via and metallic line of the upper metallization level; etching the insulating region through the hard mask so as to form a cavity; cleaning the cavity (which forms an undercut at the interface between the hard mask and the insulating region); and completely filling the cavity. The step of completely filling includes at least partially filling the cavity with copper and plugging the undercut. The undercut is plugged by sputtering a plugging material and forming an overlying doped copper layer.
    Type: Application
    Filed: July 20, 2011
    Publication date: January 26, 2012
    Applicant: STMicroelectronics (Crolles 2) SAS
    Inventor: Patrick Vannier
  • Publication number: 20120015486
    Abstract: Some embodiments include methods of forming patterns. A semiconductor substrate is formed to comprise an electrically insulative material over a set of electrically conductive structures. An interconnect region is defined across the electrically conductive structures, and regions on opposing sides of the interconnect region are defined as secondary regions. A two-dimensional array of features is formed over the electrically insulative material. The two-dimensional array extends across the interconnect region and across the secondary regions. A pattern of the two-dimensional array is transferred through the electrically insulative material of the interconnect region to form contact openings that extend through the electrically insulative material and to the electrically conductive structures, and no portions of the two-dimensional array of the secondary regions is transferred into the electrically insulative material.
    Type: Application
    Filed: July 14, 2010
    Publication date: January 19, 2012
    Inventors: Dan Millward, Kaveri Jain, Zishu Zhang, Lijing Gou, Anton de Villiers, Jianming Zhou, Yuan He, Michael Hyatt, Scott L. Light
  • Publication number: 20120012854
    Abstract: In an active matrix substrate (29), a part of the drain electrode (15) of a TFT (10), which corresponds to an auxiliary capacitor electrode (26), is overlapped with a capacitor signal line (25). The auxiliary capacitor electrode (26) includes a notch (27).
    Type: Application
    Filed: December 28, 2009
    Publication date: January 19, 2012
    Inventor: Toshihiro Kaneko
  • Patent number: 8097536
    Abstract: Metallization systems on the basis of copper and low-k dielectric materials may be efficiently formed by providing an additional dielectric material of enhanced surface conditions after the patterning of the low-k dielectric material. Consequently, defects such as isolated copper voids and the like may be reduced without significantly affecting overall performance of the metallization system.
    Type: Grant
    Filed: September 23, 2009
    Date of Patent: January 17, 2012
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Holger Schuehrer, Juergen Boemmels
  • Patent number: 8097492
    Abstract: A Silicon Based Package (SBP) is formed starting with a thick wafer, which serves as the base for the SBP, composed of silicon which has a first surface and a reverse surface which are planar. Then form an interconnection structure including metal capture structures in contact with the first surface and multilayer conductor patterns over the first surface. Form a temporary bond between the SBP and a wafer holder, with the wafer holder being a rigid structure. Thin the reverse side of the wafer to a desired thickness to form an Ultra Thin Silicon Wafer (UTSW) for the SBP. Form via holes with tapered or vertical sidewalls, which extend through the UTSW to reach the metal capture structures. Then form metal pads in the via holes which extend through the UTSW, making electrical contact to the metal capture structures. Then bond the metal pads in the via holes to pads of a carrier.
    Type: Grant
    Filed: December 24, 2008
    Date of Patent: January 17, 2012
    Assignee: International Business Machines Corporation
    Inventors: John H. Magerlein, Chirag S. Patel, Edmund J. Sprogis, Herbert I. Stoller