Filling Of Holes, Grooves, Vias Or Trenches With Conductive Material (epo) Patents (Class 257/E21.585)
  • Publication number: 20120007249
    Abstract: A silicon based substrate includes a silicon wafer, a first circuit substrate and a second circuit substrate. The silicon wafer includes a first surface and a second surface and at least a through silicon via. The first circuit substrate is disposed on the first surface and includes a plurality of first dielectric layers and a plurality of first conductive trace layers alternately stacked. The second circuit substrate is disposed on the second surface and includes a plurality of second dielectric layers and a plurality of second conductive trace layers alternately stacked. The trace density of the first conductive trace layers is higher than the trace density of the second conductive trace layers. Otherwise, the first dielectric layer includes an inorganic material and the second dielectric layer includes an organic material. A manufacturing method of the silicon based substrate is also provided.
    Type: Application
    Filed: July 7, 2010
    Publication date: January 12, 2012
    Applicants: UNITED MICROELECTRONICS CORP., MOS Art Pack Corporation
    Inventors: Chien-Li KUO, Jui-Hung Cheng
  • Publication number: 20120009789
    Abstract: A method of producing a semiconductor device includes forming, on a first insulating film formed on a substrate, a first groove in an element-forming region to form one of a via and a wiring therein, and a first seal ring groove in a seal ring part, forming one of a via and a wiring in the first groove and a first metal layer in the first seal ring groove, and then removing the metal material in a part exposed to an outside of the first groove and the first seal ring groove, forming a second insulating film on the first insulating film, forming, on the second insulating film, a second groove, and a second seal ring groove in the seal ring part on the first seal ring groove, and forming one of a via and a wiring in the second groove and a second metal layer.
    Type: Application
    Filed: September 16, 2011
    Publication date: January 12, 2012
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventor: Tatsuya Usami
  • Publication number: 20120009785
    Abstract: Methods and apparatuses for filling high aspect ratio features with tungsten-containing materials are provided. The method involves providing a partially fabricated semiconductor substrate and depositing a tungsten-containing layer on the substrate surface to partially fill one or more high aspect ratio features. The method continues with selective removal of a portion of the deposited layer such that more material is removed near the feature opening than inside the feature. In certain embodiments, removal may be performed at mass-transport limited conditions with less etchant available inside the feature than near its opening. Etchant species are activated before being introduced into the processing chamber and/or while inside the chamber. In specific embodiments, recombination of the activated species is substantially limited and/or controlled during removal, e.g., operation is performed at less than about 250° C. and/or less than about 5 Torr.
    Type: Application
    Filed: July 9, 2010
    Publication date: January 12, 2012
    Inventors: Anand Chandrashekar, Raashina Humayun, Michal Danek, Aaron R. Fellis, Sean Chang
  • Publication number: 20120001305
    Abstract: The invention concerns a method of manufacturing a vertical PIN diode comprising: providing an epitaxial wafer comprising a vertically stacked N-type layer, intrinsic layer and P-type layer; forming an anode contact of the vertical PIN diode by forming an anode metallization on a first portion of the P-type layer defining an anode region; forming an electrically insulating layer around the anode region such that a first portion of the intrinsic layer extends vertically between the N-type layer and the anode region and second portions of the intrinsic layer extend vertically between the N-type layer and the electrically insulating layer; forming a trench in the electrically insulating layer and in the second portions of the intrinsic layer so as to expose a portion of the N-type layer defining a cathode region and to define a sacrificial side-guard ring consisting of a portion of the electrically insulating layer that extends laterally between the trench and the anode region and laterally surrounds said anode
    Type: Application
    Filed: June 28, 2011
    Publication date: January 5, 2012
    Applicant: Selex Sistemi Integrati S.p.A.
    Inventors: Marco Peroni, Alessio Pantellini
  • Patent number: 8088685
    Abstract: The described embodiments of methods of bottom-up metal deposition to fill interconnect and replacement gate structures enable gap-filling of fine features with high aspect ratios without voids and provide metal films with good film quality. In-situ pretreatment of metal film(s) deposited by gas cluster ion beam (GCIB) allows removal of surface impurities and surface oxide to improve adhesion between an underlying layer with the deposited metal film(s). Metal films deposited by photo-induced chemical vapor deposition (PI-CVD) using high energy of low-frequency light source(s) at relatively low temperature exhibit liquid-like nature, which allows the metal films to fill fine feature from bottom up. The post deposition annealing of metal film(s) deposited by PI-CVD densifies the metal film(s) and removes residual gaseous species from the metal film(s). For advanced manufacturing, such bottom-up metal deposition methods address the challenges of gap-filling of fine features with high aspect ratios.
    Type: Grant
    Filed: February 9, 2010
    Date of Patent: January 3, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Simon Su-Horng Lin, Chi-Ming Yang, Chyi Shyuan Chern, Chin-Hsiang Lin
  • Publication number: 20110318917
    Abstract: Through-Silicon-Via (TSV) structures can be provided by forming a conductive via through a substrate extending from an upper surface of the substrate to a backside surface of the substrate, that is opposite the upper surface, and having a conductive protective layer comprising Ni and/or Co formed at a bottom of the conductive via. A polymer insulating layer can be formed on the backside surface that is separate from the substrate and in contact with the conductive protective layer.
    Type: Application
    Filed: June 17, 2011
    Publication date: December 29, 2011
    Inventors: Minseung Yoon, Namseog Kim, Pyoungwan Kim, Keumhee Ma, Chajea Jo
  • Publication number: 20110318849
    Abstract: The semiconductor device of the present invention includes a first insulating film on a substrate having a first region and a second region, a light shielding film formed in the first region and an interconnect film formed in the second region in the first insulating film and a second insulating film having a first concave portion above the light shielding film in the first region and an interconnect hole having a via hole and a second concave portion in the second region in the second insulating film on the first insulating film, wherein an area of the light shielding film is overlapping an area of the first plurality of concave portions.
    Type: Application
    Filed: September 2, 2011
    Publication date: December 29, 2011
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventor: Hidetaka NAMBU
  • Publication number: 20110316170
    Abstract: A wiring substrate includes a wiring pattern in an uppermost layer that includes pads. A solder resist layer covers the wiring pattern. A recess exposes part of the wiring pattern from the solder resist layer to form pads. The solder resist layer includes a portion formed in a region corresponding to the recess, a portion formed outward from the recess, and a portion formed inward from the recess. The upper surface of the solder resist layer at the portion corresponding to the recess is higher than the upper surface of the pads but lower than the upper surfaces of the other portions of the solder resist layer.
    Type: Application
    Filed: June 22, 2011
    Publication date: December 29, 2011
    Inventors: Shigetsugu Muramatsu, Satoshi Sunohara
  • Patent number: 8084352
    Abstract: A high-density N-type diffusion layer 116 formed in a separation area 115 makes it possible to reduce a collector current flowing through a parasitic NPN transistor 102. Thus, a normal CMOS process can be used to provide a driving circuit and a data line driver which make it possible to improve resistance to possible noise occurring between adjacent terminals, while controlling a chip size.
    Type: Grant
    Filed: June 3, 2008
    Date of Patent: December 27, 2011
    Assignee: Panasonic Corporation
    Inventors: Takeshi Harada, Junichi Shibata, Akira Ueki
  • Publication number: 20110309513
    Abstract: An embodiment is a method and apparatus for heat extraction and shielding in multi-block semiconductor devices. A plurality of blocks stacked on each other is interconnected by vertical vias filled with thermally conducting material and separated by buried thermally conductive layers. A thermally conductive layer is bonded to bottom or top of the plurality of blocks as a ground plane or a heat extraction layer. The thermally conductive layer has a high thermal conductivity. An embodiment is a method and apparatus for heat extraction and shielding in single-block semiconductor devices. A thermally insulative layer is deposited on a substrate. The thermally insulative layer is capable of supporting a thermal gradient to reduce heating of the substrate. A buried thermally conductive layer is formed inside the thermally insulative layer and has a vertical via to connect through the substrate to an external heat extracting layer.
    Type: Application
    Filed: June 18, 2010
    Publication date: December 22, 2011
    Applicant: PALO ALTO RESEARCH CENTER INCORPORATED
    Inventors: David K. Biegelsen, Raj B. Apte
  • Patent number: 8080459
    Abstract: A method of fabricating a self-aligned contact in a semiconductor device, in accordance with one embodiment of the present invention, includes etching a trench in a core area and partially extending into a termination area of a substrate. A first oxide is grown on the substrate proximate the trench. A polysilicon layer is deposited in the core area and the termination area. The polysilicon layer is selectively etched to form a gate region in the core area portion of the trench. The etching of the polysilicon layer also forms a first portion of a gate interconnect region in the termination area portion of the trench and a second portion in the termination area outside of the trench.
    Type: Grant
    Filed: June 15, 2004
    Date of Patent: December 20, 2011
    Assignee: Vishay-Siliconix
    Inventor: Robert Q. Xu
  • Patent number: 8080875
    Abstract: An interconnection substrate including therein one or more resin layers, each of the resin layers including therein a via-hole penetrating from a top surface to a bottom surface of the resin layer. A via-plug of metal particles is formed in the via-hole. Each of the metal particles has a flattened shape generally parallel to a plane of the resin layer.
    Type: Grant
    Filed: January 2, 2008
    Date of Patent: December 20, 2011
    Assignee: Fujitsu Limited
    Inventor: Yoshihiko Imanaka
  • Publication number: 20110306201
    Abstract: A semiconductor device includes a first metal layer provided above a semiconductor substrate, an interlayer insulating film provided above the first metal layer, a second metal layer that is provided in an opening formed in the interlayer insulating film and is in contact with an underlying layer, the second metal layer being connected to the first metal layer, and a first barrier layer that is provided between the second metal layer and the interlayer insulating film and has a different main composition from that of the underlying layer.
    Type: Application
    Filed: August 24, 2011
    Publication date: December 15, 2011
    Inventor: Takayuki ENDA
  • Publication number: 20110306206
    Abstract: A method of forming contact openings in the fabrication of integrated circuitry includes forming a mask which includes at least one of photoresist and amorphous carbon received over a plurality of spaced conductive line constructions. The conductive line constructions include insulative caps and insulative sidewalls. The mask includes a plurality of spaced lines and trench spaces between adjacent of the spaced lines. The spaced lines and the trench spaces angle relative to the conductive line constructions. The trench spaces are received over node locations which are received between adjacent of the conductive line constructions. The at least one of photoresist and amorphous carbon is treated with a plasma to reduce lateral width of the spaced lines and to increase lateral width of the trench spaces. After the treating, contact openings are etched to the node locations selectively relative to the insulative caps and the insulative sidewalls.
    Type: Application
    Filed: June 15, 2010
    Publication date: December 15, 2011
    Inventors: Mark Kiehlbauch, Anton deVilliers
  • Publication number: 20110304028
    Abstract: A semiconductor device which forms a barrier layer formed of a doped polysilicon layer on a buried bit line to prevent the bit line conductive layer from being exposed during the etching process for forming a buried word line, thereby improving characteristics of the device, and a method of manufacturing the same, are provided. The semiconductor device includes a first pillar pattern and a second pillar pattern, including sidewall contacts, and a buried bit line including a bit line conductive layer disposed over a lower part of a trench between the first pillar pattern and the second pillar pattern, and a barrier layer stacked over the bit line conductive layer.
    Type: Application
    Filed: December 30, 2010
    Publication date: December 15, 2011
    Applicant: Hynix Semiconductor Inc.
    Inventor: Seung Hwan KIM
  • Publication number: 20110304055
    Abstract: A semiconductor integrated circuit includes a first wiring formed on a first wiring layer and prolonged in a first direction, a second wiring formed on a second wiring layer and prolonged in a second direction, a third wiring formed on the first wiring layer and prolonged in the first direction, a fourth wiring formed on the second wiring layer and prolonged in the second direction, a multi-cut via formed to connect the first wiring to the second wiring, the multi-cut via including a first via and a second via formed in the first direction, and a single-cut via formed to connect the third wiring to the fourth wiring. A first overhang is provided in a direction opposite to the first direction, the first overhang being larger than a second overhang, the second overhang being smaller than a third overhang.
    Type: Application
    Filed: August 17, 2011
    Publication date: December 15, 2011
    Applicant: Renesas Electronics Corporation
    Inventor: Keiichi Nishimuda
  • Patent number: 8076237
    Abstract: The present invention discloses methods for depositing a material, particularly a conductive material, in cavities of a substrate and forming bonding contacts or pads thereon. An intracavity structure may be utilized in conjunction with embodiments of the present invention to provide efficient filling of diverse cavities within the substrate. Also provided are embodiments for interconnection structures using filled cavities, along with electrically conductive or reactive structures which may include capacitors fabricated within a substrate.
    Type: Grant
    Filed: May 9, 2008
    Date of Patent: December 13, 2011
    Assignee: ASM America, Inc.
    Inventor: Cyprian Emeka Uzoh
  • Patent number: 8076203
    Abstract: A polysilicon film is formed all over a surface of a semiconductor substrate, then is subject to a CMP process through a mask pattern as a stopper. Then, a metal film is formed all over the resulting surface, and is allowed at least a part of the polysilicon film and at least a part of the metal film to react with each other to silicidize the metal. This forms the gate electrode.
    Type: Grant
    Filed: October 23, 2008
    Date of Patent: December 13, 2011
    Assignee: Elpida Memory, Inc.
    Inventor: Hiroyuki Fujimoto
  • Patent number: 8076239
    Abstract: A method of manufacturing a semiconductor device, includes the steps of forming an insulating film on a semiconductor substrate having a silicide layer, forming a hole in the insulating film on the silicide layer, cleaning an inside of the hole and a surface of the silicide layer, forming a titanium layer on a bottom surface and an inner peripheral surface of the hole by a CVD method, forming a copper diffusion preventing barrier metal layer on the titanium layer in the hole, and burying a copper layer in the hole.
    Type: Grant
    Filed: February 15, 2008
    Date of Patent: December 13, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Kazuo Kawamura, Shinichi Akiyama, Satoshi Takesako
  • Patent number: 8076230
    Abstract: A method for simultaneous formation of a self-aligned contact of a core region and a local interconnect of a peripheral region of an integrated circuit includes etching a cap dielectric layer to simultaneously form a hole in the core region and a trench in the peripheral region of the cap dielectric layer, etching a dielectric layer to simultaneously form a hole in the core region and a trench in the peripheral region of the dielectric layer of the dielectric layer, etching a liner layer simultaneously on a shoulder of sidewall spacers associated with the hole and with the trench of the dielectric layer without etching the liner layer at a bottom area of the hole and the trench, performing an oxygen flushing to remove polymer residues, and etching simultaneously through the liner layer that lines the bottom area of the hole and the trench.
    Type: Grant
    Filed: July 20, 2009
    Date of Patent: December 13, 2011
    Assignee: Macronix International Co. Ltd.
    Inventor: An Chyi Wei
  • Patent number: 8071445
    Abstract: In a transistor region, a source interconnect layer and a gate electrode are buried in trenches. A source extending region is provided adjacent to the transistor region or in the transistor region, and a source interconnect layer is designed to protrude from the upper end of a trench. This source interconnect layer is connected to a source electrode formed in the transistor region immediately above the trench. A gate extending region is provided outside the source extending region, and the gate electrode and a gate interconnect layer are connected. The gate electrode is formed by performing etchback without forming a resist pattern, after a polysilicon film is formed. Here, the polysilicon film remains like a side-wall on the sidewall of the portion of the source interconnect layer protruding from the upper end of the trench.
    Type: Grant
    Filed: April 20, 2010
    Date of Patent: December 6, 2011
    Assignee: Renesas Electronics Corporation
    Inventor: Kei Takehara
  • Patent number: 8071481
    Abstract: A multi-step etching process produces trench openings in a silicon substrate that are immediately adjacent transistor structures formed over the substrate surface. The multi-step etching process is a Br-based etching operation with one step including nitrogen and a further step deficient of nitrogen. The etching process does not attack the transistor structure and forms an opening bounded by upper surfaces that extend downwardly from the substrate surface and are substantially vertical, and lower surfaces that bulge outwardly from the upper vertical sections and undercut the transistor structure. The aggressive undercut produces a desirable stress in the etched silicon surface. The openings are then filled with a suitable source/drain material and SSD transistors with desirable Idsat characteristics may then be formed.
    Type: Grant
    Filed: April 23, 2009
    Date of Patent: December 6, 2011
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ta-Wei Kao, Shiang-Bau Wang, Ming-Jie Huang, Chi-Hsi Wu, Shu-Yuan Ku
  • Patent number: 8072036
    Abstract: A method of fabricating a micro-electromechanical system microphone structure is disclosed. First, a substrate defining a MEMS region and a logic region is provided, and a surface of the substrate has a dielectric layer thereon. Next, at least one metal interconnect layer is formed on the dielectric layer in the logic region, and at least one micro-machined metal mesh is simultaneously formed in the dielectric layer of the MEMS region. Therefore, the thickness of the MEMS microphone structure can be effectively reduced.
    Type: Grant
    Filed: October 15, 2010
    Date of Patent: December 6, 2011
    Assignee: United Microelectronics Corp.
    Inventor: Hui-Shen Shih
  • Patent number: 8072070
    Abstract: A barrier layer is deposited over a layer of passivation including in an opening to a contact pad created in the layer of passivation. A column of three layers of metal is formed overlying the barrier layer and aligned with the contact pad and having a diameter that is about equal to the surface of the contact pad. The three metal layers of the column comprise, in succession when proceeding from the layer that is in contact with the barrier layer, a layer of pillar metal, a layer of under bump metal and a layer of solder metal. The layer of pillar metal is reduced in diameter, the barrier layer is selectively removed from the surface of the layer of passivation after which reflowing of the solder metal completes the solder bump of the invention.
    Type: Grant
    Filed: June 29, 2009
    Date of Patent: December 6, 2011
    Assignee: Megica Corporation
    Inventors: Jin-Yuan Lee, Mou-Shiung Lin, Ching-Cheng Huang
  • Patent number: 8071412
    Abstract: A method of fabricating a micro-electromechanical system microphone structure is disclosed. First, a substrate defining a MEMS region and a logic region is provided, and a surface of the substrate has a dielectric layer thereon. Next, at least one metal interconnect layer is formed on the dielectric layer in the logic region, and at least one micro-machined metal mesh is simultaneously formed in the dielectric layer of the MEMS region. Therefore, the thickness of the MEMS microphone structure can be effectively reduced.
    Type: Grant
    Filed: October 18, 2010
    Date of Patent: December 6, 2011
    Assignee: United Microelectronics Corp.
    Inventor: Hui-Shen Shih
  • Publication number: 20110294292
    Abstract: A method for forming a shared contact in a semiconductor device having a gate electrode corresponding to a first transistor and a source/drain region corresponding to a second transistor is provided. The method includes forming a first opening in a dielectric layer overlying the gate electrode and the source/drain region, wherein the first opening extends substantially to the gate electrode corresponding to the first transistor. The method further includes after forming the first opening, forming a second opening, contiguous with the first opening, in the overlying dielectric layer, wherein the second opening extends substantially to the source/drain region corresponding to the second transistor. The method further includes forming the shared contact between the gate electrode corresponding to the first transistor and the source/drain region corresponding to the second transistor by filling the first opening and the second opening with a conductive material.
    Type: Application
    Filed: May 25, 2010
    Publication date: December 1, 2011
    Inventors: Olubunmi O. Adetutu, Ted R. White, Mark D. Hall
  • Publication number: 20110294275
    Abstract: A method for fabricating a semiconductor device includes forming an isolation layer over a substrate, forming a plurality of open regions exposing the substrate by selectively etching the isolation layer, performing a surface treatment over the isolation layer, expanding the open regions by removing the surface-treated portion of the isolation layer, and forming a conductive layer in the expanded open regions.
    Type: Application
    Filed: July 8, 2010
    Publication date: December 1, 2011
    Inventor: Sang-Oh Lee
  • Publication number: 20110294291
    Abstract: According to one embodiment, a semiconductor device includes a switch element provided in a surface area of a semiconductor substrate, a contact plug with an upper surface and a lower surface, and a function element provided on the upper surface of the contact plug. The lower surface of the contact plug is connected to the switch element. The upper surface of the contact plug has a maximum roughness of 0.2 nm or less.
    Type: Application
    Filed: February 25, 2011
    Publication date: December 1, 2011
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Yukiteru Matsui, Gaku Minamihaba, Hajime Eda, Masayoshi Iwayama, Minoru Amano, Masatoshi Yoshikawa, Motoyuki Sato, Kyoichi Suguro, Masako Kodera
  • Publication number: 20110291279
    Abstract: Disclosed is a semiconductor article which includes a semiconductor base portion, a back end of the line (BEOL) wiring portion on the semiconductor base portion, a through silicon via and a guard ring. The semiconductor base portion is made of a semiconductor material. The BEOL wiring portion includes a plurality of wiring layers having metallic wiring and insulating material. The BEOL wiring portion does not include a semiconductor material. The through silicon via provides a conductive path through the BEOL wiring portion and the semiconductor base portion. The guard ring surrounds the through silicon via in the BEOL wiring portion.
    Type: Application
    Filed: June 1, 2010
    Publication date: December 1, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Vincent J. McGahay, Michael J. Shapiro
  • Patent number: 8062971
    Abstract: Structures and methods of forming metallization layers on a semiconductor component are disclosed. The method includes etching a metal line trench using a metal line mask, and etching a via trench using a via mask after etching the metal line trench. The via trench is etched only in regions common to both the metal line mask and the via mask.
    Type: Grant
    Filed: March 19, 2008
    Date of Patent: November 22, 2011
    Assignee: Infineon Technologies AG
    Inventors: Philipp Riess, Erdem Kaltalioglu, Hermann Wendt
  • Patent number: 8058732
    Abstract: Disclosed are semiconductor die structures that enable a die having a vertical power device to be packaged in a wafer-level chip scale package where the current-conducting terminals are present at one surface of the die, and where the device has very low on-state resistance. In an exemplary embodiment, a trench and an aperture are formed in a backside of a die, with the aperture contacting a conductive region at the top surface of the die. A conductive layer and/or a conductive body may be disposed on the trench and aperture to electrically couple the backside current-conducting electrode of the device to the conductive region. Also disclosed are packages and systems using a die with a die structure according to the invention, and methods of making dice with a die structure according to the invention.
    Type: Grant
    Filed: November 20, 2008
    Date of Patent: November 15, 2011
    Assignee: Fairchild Semiconductor Corporation
    Inventors: Michael D. Gruenhagen, Suku Kim, James J. Murphy, Ihsiu Ho, Eddy Tjhia, Chung-Lin Wu, Mark Larsen, Rohit Dikshit
  • Publication number: 20110272812
    Abstract: Interconnect structures having self-aligned dielectric caps are provided. At least one metallization level is formed on a substrate. A dielectric cap is selectively deposited on the metallization level.
    Type: Application
    Filed: May 4, 2010
    Publication date: November 10, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: DAVID V. HORAK, TAKESHI NOGAMI, SHOM PONOTH, CHIH-CHAO YANG
  • Publication number: 20110272818
    Abstract: A semiconductor device which prevents a crack from occurring on a pad region is provided. The semiconductor device includes a lower pad, an upper pad which is formed above the lower pad, an insulation layer which is formed between the lower pad and the upper pad, a via net for electrically connecting the lower pad and the upper pad in the insulation layer, the via net having a net shape in which a unit grid is connected with its adjacent unit grids to form a net structure, and at least one via hole for electrically connecting the lower pad and the upper pad in the unit grid of the via net.
    Type: Application
    Filed: November 2, 2010
    Publication date: November 10, 2011
    Inventor: Jong-yeul Jeong
  • Patent number: 8053354
    Abstract: In complex metallization systems of sophisticated semiconductor devices, appropriate stress compensation mechanisms may be implemented in order to reduce undue substrate deformation during the overall manufacturing process. For example, additional dielectric material and/or functional layers of one or more metallization layers may be provided with appropriate internal stress levels so as to maintain substrate warpage at a non-critical level, thereby substantially reducing yield losses in the manufacturing process caused by non-reliable attachment of substrates to substrate holders in process and transport tools.
    Type: Grant
    Filed: September 17, 2009
    Date of Patent: November 8, 2011
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Matthias Lehr, Frank Koschinsky, Joerg Hohage
  • Patent number: 8053360
    Abstract: To prevent two contacts that have different heights, share at least one interlayer insulating film and are disposed close to each other from being short-circuited to each other due to misalignment thereof, a semiconductor device according to the invention has a recess in an interlayer insulating film in which a first contact hiving a lower height, the recess being formed by the upper surface of the first contact, and a silicon nitride sidewall is formed in the recess to extend from the upper surface of the first contact and along the side surface of the recess.
    Type: Grant
    Filed: January 21, 2009
    Date of Patent: November 8, 2011
    Assignee: Elpida Memory, Inc.
    Inventor: Kazuo Yamazaki
  • Patent number: 8053362
    Abstract: A method for forming a metal electrode of a system in package of a system in package including a multilayer semiconductor device having semiconductor devices stacked in a plurality of layers. The method may include forming a through hole extending through the plurality of layers, forming a combustible material layer having high viscosity at a lower portion of the through hole in order to seal the lower portion thereof, and forming a through electrode by filling copper in the through hole. There is an effect of efficiently forming a through electrode having a large depth corresponding to the height of stacked semiconductor devices in the system in package. Filling copper in a through hole having a large depth-to-width ratio may be efficiently done by OSP coating, electrolysis copper plating, and electro Cu plating processes.
    Type: Grant
    Filed: June 17, 2008
    Date of Patent: November 8, 2011
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Jong-Taek Hwang
  • Patent number: 8053899
    Abstract: A method and apparatus for providing a conductive structure adjacent to a damascene conductive structure in a semiconductor device structure. The semiconductor device structure includes an insulation layer with at least one damascene conductive structure formed therein, wherein the at least one damascene conductive structure includes an insulative, protective layer disposed thereon. The insulative material of the protective layer is able to resist removal by at least some suitable etchants for the insulative material of the insulation layer adjacent to the at least one damascene conductive structure. A self-aligned opening is formed by removing a portion of an insulation layer adjacent the at least one damascene conductive structure. The self-aligned opening is then filled with a conductive material to thereby provide another conductive structure adjacent to the at least one damascene conductive structure.
    Type: Grant
    Filed: November 30, 2005
    Date of Patent: November 8, 2011
    Assignee: Micron Technology, Inc.
    Inventor: Howard E. Rhodes
  • Patent number: 8053272
    Abstract: A method of fabricating a semiconductor device, comprises steps of forming a common contact hole for a first conductivity-type region and a second conductivity-type region, implanting an impurity in at least one of the first conductivity-type region and the second conductivity-type region, and forming a shared contact plug by filling an electrical conducting material in the contact hole, wherein in the implanting step, an impurity is implanted in at least one of the first conductivity-type region and the second conductivity-type region such that the first conductivity-type region and the shared contact plug are brought into ohmic contact with each other, and the second conductivity-type region and the shared contact plug are brought into ohmic contact with each other.
    Type: Grant
    Filed: January 25, 2010
    Date of Patent: November 8, 2011
    Assignee: Canon Kabushiki Kaisha
    Inventors: Akira Ohtani, Takanori Watanabe, Takeshi Ichikawa
  • Publication number: 20110266679
    Abstract: Provided is a technique capable of improving the reliability of a semiconductor device having a slit made over a main surface of a semiconductor substrate, so as to surround each element formation region. In the technique, a second passivation film covers the side surface of an opening made to make the upper surface of a sixth-layer interconnection M6 used for bonding pads naked, and the inner walls (the side surfaces and the bottom surface) of a slit made to surround the circumference of a guard ring and made in a first passivation film, an insulating film for bonding, and an interlayer dielectric, so as to cause the bottom thereof not to penetrate through a barrier insulating film.
    Type: Application
    Filed: April 27, 2011
    Publication date: November 3, 2011
    Inventors: Katsuhiko Hotta, Takeshi Furusawa, Toshikazu Matsui, Takuro Homma
  • Patent number: 8048803
    Abstract: A method for forming a contact plug in a semiconductor device includes providing a substrate having an insulation layer. A hard mask pattern is formed over the insulation layer. The insulation layer is etched using the hard mask pattern to form a contact hole. A plug material is formed over the hard mask pattern to fill the contact hole. The insulation layer, the hard mask pattern, and the plug material are polished at substantially the same time such that a seam generated in the contact hole while forming the plug material is not exposed.
    Type: Grant
    Filed: September 26, 2007
    Date of Patent: November 1, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jae-Hong Kim
  • Patent number: 8048804
    Abstract: A method of manufacturing a semiconductor package, including at least a step A that forms a first transforming portion by irradiating a laser beam on at least a portion of a first substrate; a step B that joins together the first substrate and a second substrate in which a functional element is disposed; a step C that removes the first transforming portion that is disposed on the first substrate by etching; and a step D that forms a conductive portion in the first substrate by filling a conductive material in a portion where the first transforming portion has been removed.
    Type: Grant
    Filed: September 1, 2010
    Date of Patent: November 1, 2011
    Assignee: Fujikura Ltd.
    Inventor: Shogo Mitani
  • Patent number: 8048799
    Abstract: A method for forming copper wirings in a semiconductor device may include depositing a lower insulating film over a semiconductor substrate; forming vias in the lower insulating film; depositing tungsten over the entire surface of upper portion of the lower insulating film so that the vias are gap-filled with the tungsten; forming tungsten plugs by performing a tungsten chemical mechanical polishing process to remove excess tungsten deposited over the upper portion of the lower insulating film; removing the tungsten remaining over the upper portion of the lower insulating film by performing a tungsten etchback process; depositing an upper insulating film over the upper portion of the lower insulating film; exposing upper portions of the tungsten plugs by forming trenches on the upper insulating film; depositing copper over the entire surface of the upper insulating film so that the trenches are gap-filled with the copper; and planarizing the copper over the upper portion of the trenches.
    Type: Grant
    Filed: December 10, 2009
    Date of Patent: November 1, 2011
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Kweng-Rae Cho
  • Publication number: 20110263120
    Abstract: A method of fabricating a semiconductor device including providing a substrate having a front surface and a back surface. A masking element is formed on the front surface of the substrate. The masking element includes a first layer having a first opening and a second layer having a second opening of a greater width than the first opening. The second opening is a tapered opening. The method further includes etching a tapered profile via extending from the front surface to the back surface of the substrate using the formed masking element.
    Type: Application
    Filed: July 1, 2011
    Publication date: October 27, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chen-Cheng Kuo, Chen Chen-Shien, Kai-Ming Ching, Chih-Hua Chen
  • Publication number: 20110260248
    Abstract: A silicon-on-insulator (SOI) wafer is formed to have through-the-wafer contacts, and trench based interconnect structures on the back side of the SOI wafer that electrically connect the through-the-wafer contacts. In addition, selected ones of the through-the-wafer contacts bias the bodies of the MOS transistors.
    Type: Application
    Filed: April 27, 2010
    Publication date: October 27, 2011
    Inventors: Peter Smeys, Peter Johnson, Peter J. Hopper, William French
  • Patent number: 8043963
    Abstract: A method for manufacturing a semiconductor device that improves the reliability of a metal cap layer and productivity. The method includes an insulation layer step of superimposing an insulation layer (11) on a semiconductor substrate (2) including an element region (2b), a recess step of forming a recess (12) in the insulation layer (11), a metal layer step of embedding a metal layer (13) in the recess (12), a planarization step of planarizing a surface of the insulation layer (11) and a surface of the metal layer (13) to be substantially flush with each other, and a metal cap layer step of forming a metal cap layer (16) containing at least zirconium element and nitrogen element on the surface of the insulation layer (11) and the surface of the metal layer (13) after the planarization step.
    Type: Grant
    Filed: February 25, 2008
    Date of Patent: October 25, 2011
    Assignee: Ulvac, Inc.
    Inventors: Masanobu Hatanaka, Kanako Tsumagari, Michio Ishikawa
  • Publication number: 20110256711
    Abstract: Microfeature workpieces having conductive vias formed by chemically reactive processes, and associated systems and methods are disclosed. A method in accordance with one embodiment includes disposing a conductive lining on walls of a via in a microfeature workpiece, so that a space is located between opposing portions of the lining facing toward each other from opposing portions of the wall. The method can further include chemically reacting the lining with a reactive material to form a chemical compound from a constituent of the reactive material and a constituent of the lining. The method can still further include at least partially filling the space with the compound. In particular embodiments, the conductive lining includes copper, the reactive material includes sulfur hexafluoride, and the chemical compound that at least partially fills the space in the via includes copper sulfide.
    Type: Application
    Filed: June 27, 2011
    Publication date: October 20, 2011
    Applicant: MICRON TECHNOLOGY, INC.
    Inventor: Swarnal Borthakur
  • Publication number: 20110256715
    Abstract: A copper interconnect includes a copper layer formed in a dielectric layer. A liner is formed between the copper layer and the dielectric layer. A barrier layer is formed at the boundary between the liner and the dielectric layer. The barrier layer is a metal oxide.
    Type: Application
    Filed: April 16, 2010
    Publication date: October 20, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Shing-Chyang PAN, Han-Hsin KUO, Chung-Chi KO, Ching-Hua HSIEH
  • Patent number: 8034693
    Abstract: A method for fabricating a semiconductor device includes the steps of forming an insulating film on a semiconductor substrate, forming a plurality of wiring trenches in the insulating film, forming a plurality of wirings in the plurality of wiring trenches, forming a resist mask having an opening for selectively exposing one of regions between the plurality of wirings, on the insulating film and the plurality of wirings, forming an air gap trench by removing the insulating film from the selectively exposed one of the regions between the plurality of wirings by etching using the resist mask, and forming an air gap in the air gap trench by depositing an inter-layer insulating film over the plurality of wirings after removal of the resist mask.
    Type: Grant
    Filed: June 29, 2009
    Date of Patent: October 11, 2011
    Assignee: Panasonic Corporation
    Inventors: Junichi Shibata, Takeshi Harada, Akira Ueki
  • Patent number: 8034684
    Abstract: Semiconductor devices with an improved overlay margin and methods of manufacturing the same are provided. In one aspect, a method includes forming a buried bit line in a substrate; forming an isolation layer in the substrate to define an active region, the isolation layer being parallel to the bit line without overlapping the bit line; and forming a gate line including a gate pattern and a conductive line by forming the gate pattern in the active region and forming a conductive line that extends at a right angle to the bit line across the active region and is electrically connected to the gate pattern disposed thereunder. The gate pattern and the conductive line can be integrally formed.
    Type: Grant
    Filed: April 29, 2010
    Date of Patent: October 11, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Joon-Soo Park
  • Patent number: 8034679
    Abstract: An insulated-gate field-effect transistor (100, 100V, 140, 150, 150V, 160, 170, 170V, 180, 180V, 190, 210, 210W, 220, 220U, 220V, 220W, 380, or 480) is fabricated so as to have a hypoabrupt vertical dopant profile below one (104 or 264) of its source/drain zones for reducing the parasitic capacitance along the pn junction between that source/drain zone, normally serving as the drain, and adjoining body material (108 or 268). In particular, the concentration of semiconductor dopant which defines the conductivity type of the body material increases by at least a factor of 10 in moving from that source/drain zone down to an underlying body-material location no more than 10 times deeper below the upper semiconductor surface than that source/drain zone. The body material is preferably provided with a more heavily doped pocket portion (120 or 280) situated along the other source/drain zone (102 or 262) normally serving as the source.
    Type: Grant
    Filed: October 1, 2010
    Date of Patent: October 11, 2011
    Assignee: National Semiconductor Corporation
    Inventor: Constantin Bulucea