Combination Of Enhancement And Depletion Transistors (epo) Patents (Class 257/E21.631)
  • Publication number: 20090283843
    Abstract: A MOS transistor includes a conductive gate insulated from a semiconductor layer by a first dielectric layer, lightly-doped source/drain regions being formed self-aligned to respective first and second edges of the conductive gate, a source region being formed self-aligned to a first spacer, a drain region being formed a first distance away from the edge of a second spacer, a source contact opening and source metallization formed above the source region, and a drain contact opening and drain metallization formed above the drain region. The lightly-doped source region remains under the first spacer while the lightly-doped drain region remains under the second spacer and extends over the first distance to the drain region. The distance between the first edge of the conductive gate to the source contact opening is the same as the distance between the second edge of the conductive gate to the drain contact opening.
    Type: Application
    Filed: May 13, 2008
    Publication date: November 19, 2009
    Applicant: MICREL, INC.
    Inventor: Martin Alter
  • Publication number: 20090283842
    Abstract: A semiconductor device according to one embodiment includes: a semiconductor substrate comprising first and second transistor regions that are isolated by an element isolation region; a first impurity diffusion suppression layer formed on the semiconductor substrate in the first transistor region; a second impurity diffusion suppression layer formed on the semiconductor substrate in the second transistor region, and having a thickness larger than that of the first impurity diffusion suppression layer; a first crystal layer formed on the first impurity diffusion suppression layer; a second crystal layer formed on the second impurity diffusion suppression layer; a first gate electrode formed on the first crystal layer via a first gate insulating film; a second gate electrode formed on the second crystal layer via a second gate insulating film; a first channel region formed in a region in the semiconductor substrate, the first impurity diffusion suppression layer and the first crystal layer below the first gate
    Type: Application
    Filed: April 9, 2009
    Publication date: November 19, 2009
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Akira HOKAZONO
  • Publication number: 20090261424
    Abstract: A dual workfunction semiconductor device and a device made thereof is disclosed. In one aspect, the device includes a first gate stack in a first region and a second gate stack in a second region. The first gate stack has a first effective workfunction, and the second gate stack has a second effective workfunction different from the first effective workfunction. The first gate stack includes a first gate dielectric capping layer, a gate dielectric host layer, a first metal gate electrode layer, a barrier metal gate electrode, a second gate dielectric capping layer, and a second metal gate electrode. The second gate stack includes a gate dielectric host layer, a first metal gate electrode, a second gate dielectric capping layer, and a second metal gate electrode.
    Type: Application
    Filed: April 22, 2009
    Publication date: October 22, 2009
    Applicants: Interuniversitair Microelektronica Centrum vzw (IMEC), Taiwan Semiconductor Manufacturing Company, Ltd. (TSMC)
    Inventors: Shou-Zen Chang, HongYu Yu
  • Publication number: 20090256212
    Abstract: An integrated circuit (200) includes one of more transistors (210) on or in a substrate (10) having semiconductor surface layer, the surface layer having a top surface. At least one of the transistors are drain extended metal-oxide-semiconductor (DEMOS) transistor (210). The DEMOS transistor includes a drift region (14) in the surface layer having a first dopant type, a field dielectric (23) in or on a portion of said surface layer, and a body region of a second dopant type (16) within the drift region (14). The body region (16) has a body wall extending from the top surface of the surface layer downwards along at least a portion of a dielectric wall of an adjacent field dielectric region. A gate dielectric (21) is on at least a portion of the body wall. An electrically conductive gate electrode (22) is on the gate dielectric (21) on the body wall.
    Type: Application
    Filed: April 11, 2008
    Publication date: October 15, 2009
    Applicant: Texas Instruments, Inc.
    Inventors: Marie Denison, Taylor Rice Efland
  • Publication number: 20090250757
    Abstract: There is provided a semiconductor device having excellent device characteristics and reliability in which Vth values of an nMOS transistor and a pMOS transistor are controlled to be values necessary for a low-power device. The semiconductor device includes a pMOS transistor and an nMOS transistor formed by using an SOI substrate. The pMOS transistor is a fully depleted MOS transistor including a first gate electrode comprising at least one type of crystalline phase selected from the group consisting of a WSi2 crystalline phase, an MoSi2 crystalline phase, an NiSi crystalline phase, and an NiSi2 crystalline phase as silicide region (1). The nMOS transistor is a fully depleted MOS transistor comprising at least one type of crystalline phase selected from the group consisting of a PtSi crystalline phase, a Pt2Si crystalline phase, an IrSi crystalline phase, an Ni2Si crystalline phase, and an Ni3Si crystalline phase as silicide region (2).
    Type: Application
    Filed: July 23, 2007
    Publication date: October 8, 2009
    Applicant: NEC Corporation
    Inventor: Kensuke Takahashi
  • Publication number: 20090197381
    Abstract: A selective stress memorization technique is disclosed in which the creation of tensile strain may be accomplished without additional photolithography steps by using an implantation mask or any other mask required during a standard manufacturing flow, or by providing a patterned cap layer for a strained re-crystallization of respective drain and source areas. In still other aspects, additional anneal steps may be used for selectively creating a crystalline state and a non-crystalline state prior to the re-crystallization on the basis of a cap layer. Thus, enhanced strain may be obtained in one type of transistor while not substantially negatively affecting the other type of transistor without requiring additional photolithography steps.
    Type: Application
    Filed: July 24, 2008
    Publication date: August 6, 2009
    Inventors: Markus Lenski, Frank Wirbeleit, Anthony Mowry
  • Publication number: 20090189202
    Abstract: An electronic device can include a gate electrode having different portions with different conductivity types. In an embodiment, a process of forming the electronic device can include forming a semiconductor layer over a substrate, wherein the semiconductor layer has a particular conductivity type. The process can also include selectively doping a region of the semiconductor layer to form a first doped region having an opposite conductivity type. The process can further include patterning the semiconductor layer to form a gate electrode that includes a first portion and a second portion, wherein the first portion includes a portion of the first doped region, and the second region includes a portion of the semiconductor layer outside of the first doped region. In a particular embodiment, the electronic device can have a gate electrode having edge portions of one conductivity type and a central portion having an opposite conductivity type.
    Type: Application
    Filed: January 25, 2008
    Publication date: July 30, 2009
    Applicant: SPANSION LLC
    Inventor: Burchell B. Baptiste
  • Publication number: 20090146223
    Abstract: A method removes the spacers from the sides of a transistor gate stack, and after the spacers are removed, the method implants an additional impurity into surface regions of the substrate not protected by the gate conductor (or alternatively just amorphizes these surface regions, without adding more impurity). The method then performs a laser anneal on the additional impurity (to activate the additional impurity) or amorphized regions (to recrystallize the amorphized regions). After this, permanent spacers are formed on the sidewalls of the gate conductor. Then, the surface regions of the substrate not protected by the gate conductor and the permanent spacers are silicided, to create silicide source/drain regions. This forms the silicide regions in the additional impurity or in the recrystallized amorphized regions to reduce the source/drain resistance by improving the active dopant concentration at the silicon-silicide interface.
    Type: Application
    Filed: December 5, 2007
    Publication date: June 11, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Sameer H. Jain, Shreesh Narasimha, Karen A. Nummy, Katsunori Onishi, Viorel C. Ontalus, Jang H. Sim
  • Publication number: 20090137090
    Abstract: A method for fabricating a semiconductor device is provided. A first active region and a second active region are defined in a substrate. An electrode covering the first active region and the second active region is formed on the substrate. A first sacrificial layer is formed on the second active layer. A first work function electrode is formed on the first active layer by performing a first doping process to a portion of the electrode. The first sacrificial layer is removed. A second sacrificial layer is formed on the first active layer.
    Type: Application
    Filed: June 13, 2008
    Publication date: May 28, 2009
    Applicant: INOTERA MEMORIES, INC.
    Inventors: Wen-Hsiang Chen, Cheng-Yeh Hsu
  • Publication number: 20090124056
    Abstract: A method of fabricating a semiconductor device is provided. A gate structure is formed on a substrate and then a first spacer is formed at a sidewall of the gate structure. Next, recesses are respectively formed in the substrate at two sides of the first spacer. Thereafter, a buffer layer and a doped semiconductor compound layer are formed in each recess. An extra implantation region is respectively formed on the surfaces of each buffer layer and each doped semiconductor compound layer. Afterward, source/drain contact regions are formed in the substrate at two sides of the gate structure.
    Type: Application
    Filed: November 12, 2007
    Publication date: May 14, 2009
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Tai-Ju Chen, Tung-Hsing Lee, Da-Kung Lo
  • Publication number: 20090101976
    Abstract: A body tie test structure and methods for its manufacture are provided. The transistor comprises a body-tied semiconductor on insulator (SOI) transistor formed in a layer of semiconductor material, the transistor comprising a cross-shaped gate structure with a substantially constant gate length L. An insulating blocking layer enables formation of a spacer region in the layer of semiconductor material separating the source and drain regions from the body tie region. A conductive channel with substantially the same inversion characteristics as the intrinsic transistor body connects the body tie to the intrinsic transistor body through the spacer region.
    Type: Application
    Filed: October 18, 2007
    Publication date: April 23, 2009
    Applicant: Advanced Micro Devices, Inc.
    Inventors: Sriram MADHAVAN, Qiang CHEN, Darin A. CHAN, Jung-Suk GOO
  • Publication number: 20090090978
    Abstract: A high-voltage transistor and a peripheral circuit including a second conductivity type MOSFET are provided together on a first conductivity type semiconductor substrate. The high-voltage transistor includes: a low concentration drain region of a second conductivity type formed in the semiconductor substrate; a low concentration source region of a second conductivity type formed in the semiconductor substrate and spaced apart from the low concentration drain region; and a high concentration source region of a second conductivity type having a diffusion depth deeper than that of the low concentration source region. A diffusion depth of the low concentration source region is equal to that of source/drain regions of the MOSFET.
    Type: Application
    Filed: September 30, 2008
    Publication date: April 9, 2009
    Inventors: Yuji Harada, Kazuyuki Sawada, Masahiko Niwayama, Masaaki Okita
  • Publication number: 20090026538
    Abstract: Provided is a semiconductor device formed with a trench portion for providing a concave portion having a continually varying depth in a gate width direction and with a gate electrode provided within the trench portion and on a top surface thereof via a gate insulating film. Before the formation of the gate electrode, an impurity is added to at least a part of the source region and the drain region by ion implantation from an inner wall of the trench portion, and then heat treatment is performed for diffusion and activation to form a diffusion region from the surface of the trench portion down to a bottom portion thereof. Current flowing through a top surface of the concave portion of the gate electrode at high concentration can flow uniformly through the entire trench portion.
    Type: Application
    Filed: July 23, 2008
    Publication date: January 29, 2009
    Inventor: Masayuki Hashitani
  • Publication number: 20090020834
    Abstract: In a MOSFET using SiC a p-type channel is formed by epitaxial growth, so that the depletion layer produced in the p-type region right under the channel is reduced, even when the device is formed in a self-aligned manner. Thus, a high breakdown voltage is obtained. Also, since the device is formed in a self-aligned manner, the device size can be reduced so that an increased number of devices can be fabricated in a certain area and the on-state resistance can be reduced.
    Type: Application
    Filed: February 14, 2006
    Publication date: January 22, 2009
    Applicant: MITSUBISHI ELECTRIC CORPORATION
    Inventors: Kenichi Ootsuka, Tetsuya Takami, Tadaharu Minato
  • Publication number: 20090014812
    Abstract: Disclosed herein is a semiconductor device, including: a first group of transistors formed on a semiconductor substrate; and a second group of transistors formed on the semiconductor substrate, each of which is lower in operating voltage than each of the transistors in the first group; wherein each of the transistors in the first group includes a first gate electrode formed on the semiconductor substrate through a first gate insulating film, and a silicide layer formed on the first gate electrode; each of the transistors in the second group includes a second gate electrode formed in a trench for gate formation, formed in an insulating film above the semiconductor substrate, through a second gate insulating film; and a protective film is formed so as to cover the silicide layer on each of the first gate electrodes of the first group of transistors.
    Type: Application
    Filed: July 7, 2008
    Publication date: January 15, 2009
    Applicant: SONY CORPORATION
    Inventors: Junli Wang, Tomoyuki Hirano, Toyotaka Kataoka, Yoshiya Hagimoto
  • Publication number: 20090014814
    Abstract: In one embodiment, a semiconductor device is formed in a body of semiconductor material. The semiconductor device includes a counter-doped drain region spaced apart from a channel region.
    Type: Application
    Filed: September 24, 2008
    Publication date: January 15, 2009
    Inventors: Gary H. Loechelt, Peter J. Zdebel
  • Publication number: 20090001484
    Abstract: By recessing portions of the drain and source areas on the basis of a spacer structure, the subsequent implantation process for forming the deep drain and source regions may result in a moderately high dopant concentration extending down to the buried insulating layer of an SOI transistor. Furthermore, the spacer structure maintains a significant amount of a strained semiconductor alloy with its original thickness, thereby providing an efficient strain-inducing mechanism. By using sophisticated anneal techniques, undue lateral diffusion may be avoided, thereby allowing a reduction of the lateral width of the respective spacers and thus a reduction of the length of the transistor devices. Hence, enhanced charge carrier mobility in combination with reduced junction capacitance may be accomplished on the basis of reduced lateral dimensions.
    Type: Application
    Filed: February 7, 2008
    Publication date: January 1, 2009
    Inventors: Thomas Feudel, Markus Lenski, Andreas Gehring
  • Publication number: 20080318374
    Abstract: MOSFET devices suitable for operation at gate lengths less than about 40 nm, and methods of their fabrication is being presented. The MOSFET devices include a ground plane formed of a monocrystalline Si based material. A Si based body layer is epitaxially disposed over the ground plane. The body layer is doped with impurities of opposite type than the ground plane. The gate has a metal with a mid-gap workfunction directly contacting a gate insulator layer. The gate is patterned to a length of less than about 40 nm, and possibly less than 20 nm. The source and the drain of the MOSFET are doped with the same type of dopant as the body layer. In CMOS embodiments of the invention the metal in the gate of the NMOS and the PMOS devices may be the same metal.
    Type: Application
    Filed: August 26, 2008
    Publication date: December 25, 2008
    Applicant: International Business Machines Corporation
    Inventors: Jack Oon Chu, Bruce B. Doris, Meikei Ieong, Jing Wang
  • Publication number: 20080311715
    Abstract: A method for forming a semiconductor device is disclosed. A substrate comprising trenches are provided. Dopants are doped into a region of the substrate neighboring a sidewall of the trenches by using an isotropic doping method. A gate dielectric layer is formed on the sidewall of the substrate. A gate electrode is formed in the trenches, wherein the gate electrode protrudes a surface of the substrate.
    Type: Application
    Filed: February 8, 2008
    Publication date: December 18, 2008
    Inventors: Po-Kang Hu, Cheng-Che Lee, Ta-Wei Tung, Meng-Cheng Chen
  • Publication number: 20080299728
    Abstract: A semiconductor device manufacturing method includes: forming a sidewall spacer on a sidewall surface of a gate electrode; forming a pair of second conductive type source and drain regions in an active region; covering top surfaces of a semiconductor layer, a device isolation region, the sidewall spacer and the gate electrode with a metal film; reducing resistance of the source and drain regions and the gate electrode partially by making the metal film react with the semiconductor layer and the gate electrode; and removing an unreacted portion of the metal film and the sidewall spacer simultaneously by using an etchant which readily etches the unreacted portion of the metal film and the sidewall spacer while hardly etching the device isolation region, resistance-reduced portions of the gate electrode and resistance-reduced portions of the source and drain regions.
    Type: Application
    Filed: May 30, 2008
    Publication date: December 4, 2008
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yasushi Akasaka, Noriaki Fukiage, Yoshihiro Kato, Kazuhide Hasebe, Pao-Hwa Chou
  • Publication number: 20080283938
    Abstract: Provided are a semiconductor device and a method for manufacturing the same. The semiconductor device may include a substrate having a plurality of isolation areas formed therein, the isolation areas defining an active region, a gate electrode formed on the active region, spacers formed on sides of the gate electrode, a source region formed in the substrate at a side of the spacer formed at a first side of the gate electrode, a drain region formed in the substrate at a side of the spacer formed on a second side of the gate electrode, and lightly doped drain regions formed in the substrate below the spacer.
    Type: Application
    Filed: May 16, 2008
    Publication date: November 20, 2008
    Inventor: Mun Sub Hwang
  • Publication number: 20080286929
    Abstract: The method for manufacturing a semiconductor device according to the invention includes the first doping step of doping source/drain regions including source/drain extension regions adjacent to a channel region of a MOS transistor, the second doping step of doping pocket implant regions disposed from the bottom of the source/drain extension regions in the depth direction, the step of forming an amorphous surface layer at the surface of a semiconductor crystal substrate so as to overlap the source/drain extension regions and the pocket implant regions, and the recrystallization step of recrystallizing the amorphous surface layer by a solid-phase epitaxy technique.
    Type: Application
    Filed: July 3, 2008
    Publication date: November 20, 2008
    Applicant: FUJITSU LIMITED
    Inventor: Toshihiko MIYASHITA
  • Publication number: 20080283923
    Abstract: A semiconductor device and a manufacturing method thereof are provided. The manufacturing method can form a structure of a thin film transistor (TFT) having a symmetric lightly doped region, and thus provide superior operation reliability and electrical performance. In addition, the manufacturing method forms gate patterns of different TFTs by the same mask process and thereby avoids the misalignment of masks so as to improve the processing yield and reduce the manufacturing cost.
    Type: Application
    Filed: February 4, 2008
    Publication date: November 20, 2008
    Applicant: AU OPTRONICS CORPORATION
    Inventors: Chen-Yueh Li, Yi-Wei Chen, Ming-Yan Chen
  • Patent number: 7449728
    Abstract: A depletion mode (D-mode) field effect transistor (FET) is monolithically integrated with an enhancement mode (E-mode) FET in a multi-layer structure. The multi-layer structure includes a channel layer overlaid by a barrier layer overlaid by an ohmic contact layer. Source and drain contacts of the D-mode and E-mode FETs are coupled to the ohmic contact layer. A gate contact of the D-mode and E-mode FETs is coupled to the barrier layer. An amorphized region is provided beneath the E-mode gate contact within the barrier layer. The amorphized region forms a buried E-mode Schottky contact with the barrier layer. An alternative embodiment couples the gate contact of the D-mode transistor to a first layer that overlies the barrier layer, and provides a similar D-mode amorphized region within the first layer.
    Type: Grant
    Filed: November 24, 2003
    Date of Patent: November 11, 2008
    Assignee: Tri Quint Semiconductor, Inc.
    Inventor: Walter Anthony Wohlmuth
  • Publication number: 20080258214
    Abstract: Provided are a semiconductor device and a method of fabricating the semiconductor device. The semiconductor device can provide a trench MOS transistor having an up-drain structure. The semiconductor device can include a first conductive type well in a semiconductor substrate, a second conductive type well on the first conductive type well, trenches formed by removing portions of the second conductive type well and the first conductive type well; gates provided in the trenches with a gate dielectric being between each gate and the walls of the trench, a first conductive type source region and a second conductive type body region on the second conductive type well, the first conductive type source region surrounding a lateral surface of the gate, and a common drain between the gates, the common drain being connected to the first conductive type well.
    Type: Application
    Filed: April 14, 2008
    Publication date: October 23, 2008
    Inventor: Byung Tak Jang
  • Publication number: 20080258186
    Abstract: A silicon on insulator device has a silicon layer (10) over a buried insulating layer (12). A nickel layer is deposited over a gate (16), on sidewall spacers (22) on the sides of the gate (16), and in a cavity on both sides of the gate (16) in the silicon layer (10). A doped amorphous silicon layer fills the cavity. Annealing then takes place which forms polysilicon (40) over the sidewall spacers (22) and gate (16), but where the nickel is adjacent to single crystal silicon (10) a layer of NiSi (44) migrates to the surface leaving doped single crystal silicon (42) behind, forming in one step a source, drain, and source and drain contacts.
    Type: Application
    Filed: December 12, 2006
    Publication date: October 23, 2008
    Applicant: NXP B.V.
    Inventors: Radu Surdeanu, Mark Van Dal
  • Publication number: 20080251861
    Abstract: In order to provide a semiconductor apparatus and a production method of the semiconductor apparatus that achieves a small interface trap density by implantation of fluorine and that achieves both small property fluctuation and a small leak current, a semiconductor apparatus includes: a semiconductor substrate; a well layer formed on the semiconductor substrate; a channel dope layer formed on the well layer; a source/drain diffused layer provided at an upper peripheral of the channel dope layer; gate electrodes formed on the channel dope layer via a gate insulation film; a polycrystalline silicon plug which is formed between the gate electrodes and which touches the source/drain diffused layer while piercing the gate insulation film; and fluorine which is selectively implanted only in a source area of the source/drain diffused layer.
    Type: Application
    Filed: April 9, 2008
    Publication date: October 16, 2008
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Hiroaki TAKETANI
  • Publication number: 20080237713
    Abstract: A device includes a semiconductor layer on an insulating layer; a gate insulator on the semiconductor layer; a comb-shaped gate electrode on the gate insulator, including a base portion extending in a first direction and tooth portions extending in a second direction from one side surface of the base portion; a comb-shaped low-concentration diffusion layer in the semiconductor layer under the gate electrode having a first electroconductive type; a source layer in the semiconductor layer on the tooth portion side of the base portion having second electroconductive type with high concentration; a drain layer in the semiconductor layer on a side of the base portion opposite the tooth portion side having second electroconductive type with high concentration; and an extraction layer in the semiconductor layer between the source and the device isolating layers having first electroconductive type with high concentration, and connected with the diffusion layer.
    Type: Application
    Filed: February 29, 2008
    Publication date: October 2, 2008
    Applicant: OKI ELECTRIC INDUSTRY CO., LTD.
    Inventor: Yasuhiro Doumae
  • Publication number: 20080220580
    Abstract: A method of manufacturing a semiconductor integrated circuit device having on the same substrate both a high breakdown voltage MISFET and a low breakdown voltage MISFET is provided. An element isolation trench is formed in advance so that the width thereof is larger than the sum of the thickness of a polycrystalline silicon film serving as a gate electrode of a low breakdown voltage, the thickness of a gate insulating film and an alignment allowance in processing of a gate electrode in a direction orthogonal to the extending direction of the gate electrode and is larger than the thickness of the polycrystalline silicon film in a planar region not overlapping the gate electrode. It is possible to decrease the number of manufacturing steps for the semiconductor integrated circuit device.
    Type: Application
    Filed: May 18, 2008
    Publication date: September 11, 2008
    Inventors: Kunihiko KATO, Masami KOKETSU, Shigeya TOYOKAWA, Keiichi YOSHIZUMI, Hideki YASUOKA, Yasuhiro TAKEDA
  • Publication number: 20080182075
    Abstract: Methods for formation of epitaxial layers containing n-doped silicon are disclosed. Specific embodiments pertain to the formation and treatment of epitaxial layers in semiconductor devices, for example, Metal Oxide Semiconductor Field Effect Transistor (MOSFET) devices. In specific embodiments, the formation of the n-doped epitaxial layer involves exposing a substrate in a process chamber to deposition gases including a silicon source, a carbon source and an n-dopant source. An epitaxial layer may have considerable tensile stress which may be created in a significant amount by a high concentration of n-dopant. A layer having n-dopant may also have substitutional carbon. Phosphorus as an n-dopant with a high concentration is provided. A substrate having an epitaxial layer with a high level of n-dopant is also disclosed.
    Type: Application
    Filed: December 17, 2007
    Publication date: July 31, 2008
    Inventors: Saurabh Chopra, Zhiyuan Ye, Yihwan Kim
  • Publication number: 20080169504
    Abstract: Some embodiments include methods of recessing multiple materials to a common depth utilizing etchant comprising C4F6 and C4F8. The recessed materials may be within isolation regions, and the recessing may be utilized to form trenches for receiving gatelines. Some embodiments include structures having an island of semiconductor material laterally surrounded by electrically insulative material. Two gatelines extend across the insulative material and across the island of semiconductor material. One of the gatelines is recessed deeper into the electrically insulative material than the other.
    Type: Application
    Filed: January 12, 2007
    Publication date: July 17, 2008
    Inventors: Larson Lindholm, Aaron R. Wilson, David K. Hwang
  • Publication number: 20080160704
    Abstract: A method of performing ion implantation method for a high-voltage device. The method includes defining a logic region and a high-voltage region in a semiconductor substrate, forming a first gate insulation layer on the semiconductor substrate in the logic region and a second gate insulation layer on the semiconductor substrate in the high-voltage region, the second gate insulation layer being thicker than the first gate insulation layer, forming a hollow region in the logic region and a source region in the high-voltage region by implanting first conductive impurities into the logic region and source regions of the semiconductor substrate, and forming a second conductive impurity layer in the logic region by implanting second conductive impurities logic region of the into the semiconductor substrate.
    Type: Application
    Filed: November 30, 2007
    Publication date: July 3, 2008
    Applicant: DONGBU HITEK CO., LTD.
    Inventor: Duck Ki JANG
  • Publication number: 20080124873
    Abstract: A method of fabricating a semiconductor device including gate dielectrics having different thicknesses may be provided. A method of fabricating a semiconductor device may include providing a substrate having a higher voltage device region and a lower voltage device region, forming an anti-oxidation layer on the substrate, and selectively removing portions of the anti-oxidation layer on the substrate. The method may also include performing a first thermal oxidization on the substrate to form a field oxide layer on the selectively removed portions of the anti-oxidation layer, removing the anti-oxidation layer disposed on the higher voltage device region, performing a second thermal oxidization on the substrate to form a central higher voltage gate oxide layer on the higher voltage device region, removing the anti-oxidation layer disposed on the lower voltage device region, and performing a third thermal oxidization on the substrate to form a lower voltage gate oxide layer on the lower voltage device region.
    Type: Application
    Filed: July 18, 2007
    Publication date: May 29, 2008
    Inventors: Sun-hak Lee, Kwang-dong Yoo, Sang-bae Yi, Soo-cheol Lee, Mueng-ryul Lee
  • Publication number: 20080113480
    Abstract: A semiconductor substrate is covered with a resist mask and then an opening for exposing a whole upper surface of a polysilicon gate is formed by photo lithography and dry etching. Thereafter, nitrogen ions are implanted into the polysilicon gate through the opening. Implantation energy at this time is set so that the implanted ions may not break through the polysilicon gate.
    Type: Application
    Filed: November 14, 2007
    Publication date: May 15, 2008
    Applicant: Renesas Technology Corp.
    Inventors: Yukio NISHIDA, Takashi Hayashi, Tomohiro Yamashita, Katsuyuki Horita, Katsumi Eikyu
  • Publication number: 20080090359
    Abstract: A method for forming a semiconductor device is provided. The method includes forming a n-type well region. The method further includes forming a gate corresponding to the semiconductor device on top of the n-type well region. The method further includes forming a source-drain extension region on each side of the gate in the n-type well region using a p-type dopant. The method further includes doping the source-drain extension region on each side of the gate in the n-type well region using a n-type dopant such that the n-type dopant is substantially encompassed within the source-drain extension region. The method further includes forming a source and a drain corresponding to the semiconductor device.
    Type: Application
    Filed: December 7, 2007
    Publication date: April 17, 2008
    Applicant: Freescale Semiconductor, Inc.
    Inventors: Sinan Goktepeli, James Burnett
  • Publication number: 20080090358
    Abstract: A Co silicide layer having a low resistance and a small junction leakage current is formed on the surface of the gate electrode, source and drain of MOSFETS by silicidizing a Co film deposited on a main plane of a wafer by sputtering using a high purity Co target having a Co purity of at least 99.99% and Fe and Ni contents of not greater than 10 ppm, preferably having a Co purity of 99.999%.
    Type: Application
    Filed: December 4, 2007
    Publication date: April 17, 2008
    Inventors: Shinji NISHIHARA, Shuji Ikeda, Naotaka Hashimoto, Hiroshi Momiji, Hiromi Abe, Shinichi Fukada, Masayuki Suzuki
  • Publication number: 20080061370
    Abstract: A semiconductor device has plural columnar gate electrodes for plural MOSFETs formed in a row separately on a semiconductor substrate, and a semiconductor region which is formed in a part between the neighboring two columnar gate electrodes of the plural columnar gate electrodes to form a channel of the MOSFETs.
    Type: Application
    Filed: September 7, 2007
    Publication date: March 13, 2008
    Inventor: Kouji Matsuo
  • Publication number: 20080054356
    Abstract: Under a sidewall formed over a side wall of a gate electrode, a low-concentration LDD region and a high-concentration LDD region which is extremely shallow and apart from a region under the gate electrode are formed. Further, a source/drain region is formed outside these LDD regions. Since the extremely shallow high-concentration LDD region is formed under the sidewall, even if hot carriers are accumulated in the sidewall, depletion due to the hot carriers can be suppressed. Further, since the high-concentration LDD region is formed apart from a region under the gate electrode, a transverse electric field in the channel is sufficiently relaxed, so that characteristic deterioration due to a threshold shift can be suppressed.
    Type: Application
    Filed: September 5, 2007
    Publication date: March 6, 2008
    Applicant: FUJITSU LIMITED
    Inventor: Eiji Yoshida
  • Patent number: 7288463
    Abstract: Conformal dielectric deposition processes supplemented with a deposited expansion material can fill high aspect ratio narrow width gaps with significantly reduced incidence of voids or weak spots. The technique can also be used generally to form composites, such as for the densification of any substrate having open spaces or gaps to be filled without the incidence of voids or seams.
    Type: Grant
    Filed: April 28, 2006
    Date of Patent: October 30, 2007
    Assignee: Novellus Systems, Inc.
    Inventor: George D. Papasouliotis
  • Patent number: 7282402
    Abstract: According to the embodiments to the present disclosure, the process of making a dual strained channel semiconductor device includes integrating strained Si and compressed SiGe with trench isolation for achieving a simultaneous NMOS and PMOS performance enhancement. As described herein, the integration of NMOS and PMOS can be implemented in several ways to achieve NMOS and PMOS channels compatible with shallow trench isolation.
    Type: Grant
    Filed: March 30, 2005
    Date of Patent: October 16, 2007
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Mariam G. Sadaka, Alexander L. Barr, Dejan Jovanovic, Bich-Yen Nguyen, Voon-Yew Thean, Shawn G. Thomas, Ted R. White
  • Publication number: 20070207583
    Abstract: A semiconductor structure comprising a first transistor element and a second transistor element is provided. Stress in channel regions of the first and the second transistor element is controlled by forming stressed layers having a predetermined stress over the transistors. The stressed layers may be used as etch stop layers in the formation of contact vias through an interlayer dielectric formed over the transistors.
    Type: Application
    Filed: May 8, 2007
    Publication date: September 6, 2007
    Inventors: Gert Burbach, Rolf Stephan, Karsten Wieczorek, Manfred Horstmann
  • Patent number: 7265421
    Abstract: A new Insulated-Gate Field-Effect Thin Film Transistor (Gated-FET) is disclosed. A semiconductor thin film Gated-FET device, comprising: a lightly doped resistive channel region formed on a semiconductor thin film layer, the thickness of the channel comprising the entire thin film thickness; and an insulator layer deposited on said channel surface with a gate region formed on a gate material deposited on said insulator layer, said gate region receiving a gate voltage comprised of: a first level that modulate said channel resistance to a substantially non-conductive state by fully depleting majority carriers from said thin film layer in the channel region; and a second level that modulate said channel resistance to a substantially conductive state by at least partially accumulating majority carriers near the gate surface of the thin film layer in said channel region.
    Type: Grant
    Filed: November 2, 2004
    Date of Patent: September 4, 2007
    Assignee: Viciciv Technology
    Inventor: Raminda Udaya Madurawe
  • Patent number: 7087967
    Abstract: An LSI device includes a core region to which a first driving voltage is applied and an interface region to which a second driving voltage higher than the above first driving voltage is applied. The LSI device includes an SOI substrate and a device separation region for separating a SOI layer of the SOI substrate into the core region and the interface region. The thickness of the SOI layer of the core region is thinner than the thickness of the SOI layer of the interface region. The LSI device further includes first MOSFETs formed in the core region and in which the SOI layer of the core region is a fully depleted Si channel and second MOSFETs formed in the interface region and in which the SOI layer of the interface region is a fully depleted Si channel.
    Type: Grant
    Filed: August 27, 2003
    Date of Patent: August 8, 2006
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Toru Mori, Masao Okihara, Shinobu Takehiro