With Particular Manufacturing Method Of Source Or Drain, E.g., Specific S Or D Implants Or Silicided S Or D Structures Or Raised S Or D Structures (epo) Patents (Class 257/E21.634)
  • Patent number: 7649231
    Abstract: A new method to form CMOS image sensors in the manufacture of an integrated circuit device is achieved. The method comprises providing a semiconductor substrate. Sensor diodes are formed in the semiconductor substrate each comprising a first terminal and a second terminal. Gates are formed for transistors in the CMOS image sensors. The gates comprise a conductor layer overlying the semiconductor substrate with an insulating layer therebetween. The transistors include reset transistors. Ions are implanted into the semiconductor substrate to form source/drain regions for the transistors. The source regions of the reset transistors are formed in the first terminals of the sensor diodes. Ions are implanted into the reset transistor sources to form double diffused sources. The implanting is blocked from other source/drain regions.
    Type: Grant
    Filed: October 9, 2003
    Date of Patent: January 19, 2010
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Dun-Nian Yaung, Shou-Gwo Wuu, Ho-Ching Chien, Chien-Hsien Tseng
  • Patent number: 7645687
    Abstract: An embodiment of fabrication of a variable work function gates in a FUSI device is described. The embodiment uses a work function doping implant to dope the polysilicon to achieve a desired work function. Selective epitaxy growth (SEG) is used to form silicon over the source/drain regions. The doped poly-Si gate is fully silicided to form fully silicided gates that have a desired work function. We provide a substrate having a NMOS region and a PMOS region. We form a gate dielectric layer and a gate layer over said substrate. We perform a (gate Vt) gate layer implant process to implant impurities such as P+, As+, B+, BF2+, N+, Sb+, In+, C+, Si+, Ge+ or Ar+ into the gate layer gate in the NMOS gate regions and said PMOS gate regions. We form a cap layer over said gate layer. We pattern said cap layer, said gate layer and said gate dielectric layer to form a NMOS gate and a PMOS gate. Spacers are formed and S/D regions are formed. A metal is deposited over said substrate surface.
    Type: Grant
    Filed: January 20, 2005
    Date of Patent: January 12, 2010
    Assignee: Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Yung Fu Chong, Dong Kyun Sohn, Chew-Hue Ang, Purakh Raj Vermo, Liang Choo Hsia
  • Publication number: 20100001317
    Abstract: A CMOS transistor and a method for manufacturing the same are disclosed. A semiconductor substrate having at least a PMOS transistor and an NMOS transistor is provided. The source/drain of the PMOS transistor comprises SiGe epitaxial layer. A carbon implantation process is performed to form a carbon-doped layer in the top portion of the source/drain of the PMOS transistor. A silicide layer is formed on the source/drain. A CESL is formed on the PMOS transistor and the NMOS transistor. The formation of the carbon-doped layer is capable of preventing Ge out-diffusion.
    Type: Application
    Filed: July 3, 2008
    Publication date: January 7, 2010
    Inventors: Yi-Wei Chen, Teng-Chun Tsai, Chien-Chung Huang, Jei-Ming Chen, Tsai-Fu Hsiao
  • Patent number: 7642607
    Abstract: A MOS device having reduced recesses under a gate spacer and a method for forming the same are provided. The MOS device includes a gate structure overlying the substrate, a sidewall spacer on a sidewall of the gate structure, a recessed region having a recess depth of substantially less than about 30 ? underlying the sidewall spacer, and a silicon alloy region having at least a portion in the substrate and adjacent the recessed region. The silicon alloy region has a thickness of substantially greater than about 30 nm. A shallow recess region is achieved by protecting the substrate when a hard mask on the gate structure is removed. The MOS device is preferably a pMOS device.
    Type: Grant
    Filed: December 27, 2005
    Date of Patent: January 5, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Hao Wang, Ta-Wei Wang
  • Patent number: 7642141
    Abstract: A manufacturing method for a display device having a first conductive type thin film transistor and a second conductive type thin film transistor, comprising the steps of: in formation regions for a first conductive type thin film transistor and a second conductive type thin film transistor forming a semiconductor layer, a first insulating film covering the semiconductor layer and a gate electrode disposed on the first insulating film so as to intersect the semiconductor layer, on substrate having first conductive type impurity regions on both outer sides of a channel region of the semiconductor layer below the gate electrode forming a second insulating film, in the second insulating film and the first insulating film forming a contact hole for a drain electrode and a source electrode, in the formation region for the second conductive type thin film transistor forming electrodes and a second conductive type impurity region.
    Type: Grant
    Filed: May 30, 2008
    Date of Patent: January 5, 2010
    Assignee: Hitachi Displays, Ltd.
    Inventors: Yoshiaki Toyota, Takeshi Sato
  • Publication number: 20090321845
    Abstract: Low voltage, middle voltage and high voltage CMOS devices have upper buffer layers of the same conductivity type as the sources and drains that extend under the sources and drains and the gates but not past the middle of the gates, and lower bulk buffer layers of the opposite conductivity type to the upper buffer layers extend from under the upper buffer layers to past the middle of the gates forming an overlap of the two bulk buffer layers under the gates. The upper buffer layers and the lower bulk buffer layers can be implanted for both the NMOS and PMOS FETs using two masking layers. For middle voltage and high voltage devices the upper buffer layers together with the lower bulk buffer layers provide a resurf region.
    Type: Application
    Filed: September 2, 2009
    Publication date: December 31, 2009
    Inventor: Jun Cai
  • Publication number: 20090289300
    Abstract: First and second gate insulating films are formed so as to cover at least the upper corner of first and second fin-shaped semiconductor regions. The radius of curvature r1? of the upper corner of the first fin-shaped semiconductor region located outside the first gate insulating film is greater than the radius of curvature r1 of the upper corner of the first fin-shaped semiconductor region located under the first gate insulating film and is less than or equal to 2×r1. The radius of curvature r2? of the upper corner of the second fin-shaped semiconductor region located outside the second gate insulating film is greater than the radius of curvature r2 of the upper corner of the second fin-shaped semiconductor region located under the second gate insulating film and is less than or equal to 2×r2.
    Type: Application
    Filed: July 30, 2009
    Publication date: November 26, 2009
    Inventors: Yuichiro SASAKI, Keiichi Nakamoto, Katsumi Okashita, Hisataka Kanada, Bunji Mizuno
  • Publication number: 20090289379
    Abstract: Methods of manufacturing semiconductor devices and structures thereof are disclosed. In one embodiment, a method of manufacturing a semiconductor device includes forming recesses in a first region and a second region of a workpiece. The first region of the workpiece is masked, and the recesses in the second region of the workpiece are filled with a first semiconductive material. The second region of the workpiece is masked, and the recesses in the first region of the workpiece are filled with a second semiconductive material.
    Type: Application
    Filed: May 22, 2008
    Publication date: November 26, 2009
    Inventors: Jin-Ping Han, Jong Ho Yang, Chung Woh Lai, Henry Utomo
  • Publication number: 20090291539
    Abstract: A method of manufacturing an LCD driver chip includes forming a heavily doped P-type well and a heavily doped N-type well over a high voltage region of a substrate; and then forming an oxide layer over the heavily doped P-type well and the heavily doped N-type; and then simultaneously forming a first gate electrode over the heavily doped P-type well and a second gate electrode over the heavily doped N-type well including the oxide layer; and then patterning the oxide layer to form a gate insulating layer under the first and second gate electrodes and an oxide layer portion connected to lateral sides of the gate insulating layers; and then forming an insulating layer over the entire surface of the substrate including the first and second gate electrodes and the oxide layer portion; and then forming spacers on sidewalls of the first and second gate electrodes and then removing the oxide layer portion after forming the spacers; and then forming ion implantations regions over the heavily doped P-type well and the
    Type: Application
    Filed: November 6, 2008
    Publication date: November 26, 2009
    Inventor: Duck-Ki Jang
  • Patent number: 7618856
    Abstract: A semiconductor substrate having a first active region and a second active region for fabricating a first transistor and a second transistor is provided. A first gate structure and a second gate structure are formed on the first active region and the second active region and a first spacer is formed surrounding the first gate structure and the second gate structure. A source/drain region for the first transistor and the second transistor is formed. The first spacer is removed from the first gate structure and the second gate structure and a cap layer is disposed on the first transistor and the second transistor and the cap layer covering the second transistor is removed thereafter. An etching process is performed to form a recess in the substrate surrounding the second gate structure. An epitaxial layer is formed in the recess and the cap layer is removed from the first transistor.
    Type: Grant
    Filed: December 5, 2006
    Date of Patent: November 17, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Shyh-Fann Ting, Cheng-Tung Huang, Jing-Chang Wu, Kun-Hsien Lee, Wen-Han Hung, Li-Shian Jeng, Tzer-Min Shen, Tzyy-Ming Cheng, Nien-Chung Li
  • Publication number: 20090275179
    Abstract: Disclosed herein are embodiments of a method of forming a complementary metal oxide semiconductor (CMOS) device that has at least one high aspect ratio gate structure with a void-free and seam-free metal gate conductor layer positioned on top of a relatively thin high-k gate dielectric layer. These method embodiments incorporate a gate replacement strategy that uses an electroplating process to fill, from the bottom upward, a high-aspect ratio gate stack opening with a metal gate conductor layer. The source of electrons for the electroplating process is a current passed directly through the back side of the substrate. This eliminates the need for a seed layer and ensures that the metal gate conductor layer will be formed without voids or seams. Furthermore, depending upon the embodiment, the electroplating process is performed under illumination to enhance electron flow to a given area (i.e., to enhance plating) or in darkness to prevent electron flow to a given area (i.e., to prevent plating).
    Type: Application
    Filed: January 3, 2008
    Publication date: November 5, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Veeraraghavan S. Basker, John M. Cotte, Hariklia Deligianni, Toshiharu Furukawa, Vamsi K. Paruchuri, William R. Tonti
  • Publication number: 20090263946
    Abstract: An example of the present application is directed to an integrated circuit having a first plurality of transistors and a second plurality of transistors. Each of the first plurality of transistors comprises a first gate structure oriented in a first direction and each of the second plurality of transistors comprises a second gate structure oriented in a second direction. Each of the first plurality of transistors are formed with at least one more pocket region than each of the second plurality of transistors. Methods for forming the integrated circuit devices of the present application are also disclosed.
    Type: Application
    Filed: June 29, 2009
    Publication date: October 22, 2009
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Kamel Benaissa, Greg Baldwin, Shashank Ekbote
  • Publication number: 20090253235
    Abstract: A method of manufacturing a semiconductor device with NMOS and PMOS transistors is provided. The semiconductor device can lessen a short channel effect, can reduce gate-drain current leakage, and can reduce parasitic capacitance due to gate overlaps, thereby inhibiting a reduction in the operating speed of circuits. An N-type impurity such as arsenic is ion implanted to a relatively low concentration in the surface of a silicon substrate (1) in a low-voltage NMOS region (LNR) thereby to form extension layers (61). Then, a silicon oxide film (OX2) is formed to cover the whole surface of the silicon substrate (1). The silicon oxide film (OX2) on the side surfaces of gate electrodes (51-54) is used as an offset sidewall. Then, boron is ion implanted to a relatively low concentration in the surface of the silicon substrate (1) in a low-voltage PMOS region (LPR) thereby to form P-type impurity layers (621) later to be extension layers (62).
    Type: Application
    Filed: June 15, 2009
    Publication date: October 8, 2009
    Applicant: Renesas Technology Corp.
    Inventors: Kazunobu Ota, Hirokazu Sayama, Hidekazu Oda
  • Publication number: 20090246922
    Abstract: A method of forming CMOS transistor is disclosed. A CMOS transistor having a first active area and a second active area is provided. In order to maintain the concentration of the dopants in the second active area, according to the method of the present invention an ion implantation process is performed to form a lightly doped drain (LDD) in the second active area after an epitaxial layer is formed in the first active area. On the other hand, the ion implantation process is performed to form the respective LDD of the first active area and the second active area. After the epitaxial layer in the first active area is formed, another ion implantation process is performed to implant dopants into the LDD of the second active area again.
    Type: Application
    Filed: March 27, 2008
    Publication date: October 1, 2009
    Inventors: Meng-Yi Wu, Cheng-Tung Huang, Wen-Han Hung, Shyh-Fann Ting, Kun-Hsien Lee, Li-Shian Jeng, Shih-Jung Tu, Yu-Ming Lin, Yao-Chin Cheng
  • Patent number: 7595247
    Abstract: Superior control of short-channel effects for an ultra-thin semiconductor-on-insulator field effect transistor (UTSOI-FET) is obtained by performing a halo implantation immediately after a gate reoxidation step. An offset is then formed and thereafter an extension implantation process is performed. This sequence of processing steps ensures that the halo implant is laterally separated from the extension implant by the width of the offset spacer. This construction produces equivalent or far superior short channel performance compared to conventional UTSOI-FETs. Additionally, the above processing steps permit the use of lower halo doses as compared to conventional processes.
    Type: Grant
    Filed: May 25, 2007
    Date of Patent: September 29, 2009
    Assignee: International Business Machines Corporation
    Inventors: Omer H. Dokumaci, John M. Hergenrother, Shreesh Narasimha, Jeffrey W. Sleight
  • Patent number: 7592684
    Abstract: A semiconductor device is provided in which high breakdown voltage transistors and low voltage driving transistors are formed on the same substrate. The device includes a semiconductor layer, first element isolation regions for defining a high breakdown voltage transistor forming region in the semiconductor layer, second element isolation regions including trench dielectric layers for defining a low voltage driving transistor forming region in the semiconductor layer, high breakdown voltage transistors formed in the high breakdown voltage transistor forming region, low voltage driving transistors formed in the low voltage driving transistor forming region, and offset dielectric layers for alleviating the electric field of the high breakdown voltage transistors formed in the high breakdown voltage transistor forming region, wherein upper ends of the offset dielectric layers are beak shaped.
    Type: Grant
    Filed: July 31, 2006
    Date of Patent: September 22, 2009
    Assignee: Seiko Epson Corporation
    Inventors: Takafumi Noda, Masahiro Hayashi, Akihiko Ebina, Masahiko Tsuyuki
  • Patent number: 7592619
    Abstract: A method of forming an epitaxial layer of uniform thickness is provided to improve surface flatness. A substrate is first provided and a Si base layer is then formed on the substrate by epitaxy. A Si—Ge layer containing 5 to 10% germanium is formed on the Si base layer by epitaxy to normalize the overall thickness of the Si base layer and the Si—Ge layer containing 5 to 10% germanium.
    Type: Grant
    Filed: January 12, 2007
    Date of Patent: September 22, 2009
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Pang-Yen Tsai, Liang-Gi Yao, Chun-Chieh Lin, Wen-Chin Lee, Shih-Chang Chen
  • Patent number: 7585739
    Abstract: An extension region is formed by ion implantation under masking by a gate electrode, and then a substance having a diffusion suppressive function over an impurity contained in a source-and-drain is implanted under masking by the gate electrode and a first sidewall spacer so as to form amorphous layers a semiconductor substrate within a surficial layer thereof and in alignment with the first sidewall spacer, to thereby form an amorphous diffusion suppressive region.
    Type: Grant
    Filed: November 21, 2007
    Date of Patent: September 8, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Takashi Saiki, Hiroyuki Ohta, Hiroyuki Kanata
  • Patent number: 7585735
    Abstract: A method of forming a semiconductor device is provided in which a substrate (102) is provided which has a gate dielectric layer (106) disposed thereon, and a gate electrode (116) having first and second sidewalls is formed over the gate dielectric layer. First (146) and second (150) extension spacer structures are formed adjacent the first and second sidewalls, respectively.
    Type: Grant
    Filed: February 1, 2005
    Date of Patent: September 8, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Leo Mathew, Yang Du, Bich-Yen Nguyen, Voon-Yew Thean
  • Publication number: 20090215235
    Abstract: A transistor and a method for the fabrication of transistors with different gate oxide thicknesses is proposed, in which for the doping of the source, the typical LDD implantation, which is formed after the fabrication of the gate electrode, is replaced by a doping step, which is generated before applying the gate stack. In this way that is already a component of the remaining process sequence in the fabrication of the transistor doping can be used.
    Type: Application
    Filed: October 6, 2006
    Publication date: August 27, 2009
    Inventors: Martin Knaipp, Georg Rohrer
  • Patent number: 7579248
    Abstract: A method for improving uniformity of stressors of MOS devices is provided. The method includes forming a gate dielectric over a semiconductor substrate, forming a gate electrode on the gate dielectric, forming a spacer on respective sidewalls of the gate electrode and the gate dielectric, forming a recess in the semiconductor adjacent the spacer, and depositing SiGe in the recess to form a SiGe stressor. The method further includes etching the SiGe stressor to improve the uniformity of SiGe stressors.
    Type: Grant
    Filed: February 13, 2006
    Date of Patent: August 25, 2009
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu-Lien Huang, Jim Huang, Ling-Yen Yeh, Hun-Jan Tao
  • Publication number: 20090206413
    Abstract: A p-type field effect transistor (PFET) and an n-type field effect transistor (NFET) are formed by patterning of a gate dielectric layer, a thin silicon layer, and a silicon-germanium alloy layer. After formation of the source/drain regions and gate spacers, silicon germanium alloy portions are removed from gate stacks. A dielectric layer is formed and patterned to cover an NFET gate electrode, while exposing a thin silicon portion for a PFET. Germanium is selectively deposited on semiconductor surfaces including the exposed silicon portion. The dielectric layer is removed and a metal layer is deposited and reacted with underlying semiconductor material to form a metal silicide for a gate electrode of the NFET, while forming a metal silicide-germanide alloy for a gate electrode of the PFET.
    Type: Application
    Filed: February 14, 2008
    Publication date: August 20, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Cyril Cabral, JR., Jack O. Chu, Young-Hee Kim
  • Publication number: 20090186457
    Abstract: The present invention relates to semiconductor devices, and more particularly to a method for forming a CMOS semiconductor device, the method including a first integration anneal sequence for each NFET and a second integration anneal sequence for each PFET of the semiconductor device. The method includes providing a structure having an nFET gate stack and a pFET gate stack patterned on a substrate. A first disposable spacer is formed adjacent the nFET gate stack and a second disposable spacer is formed adjacent the pFET gate stack. A first doped S/D region and a second doped S/D region are then formed in the substrate. The first and second disposable spacers are removed after the first and second doped S/D regions are formed. A first halo implant and a first S/D extension region are formed adjacent the nFET gate stack after the first and second disposable spacers are removed. The structure is annealed using a RTA process.
    Type: Application
    Filed: January 23, 2008
    Publication date: July 23, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kam-Leung Lee, Paul M. Kozlowski
  • Patent number: 7557000
    Abstract: A method for forming an strained silicon integrated circuit device. The method includes providing a semiconductor substrate and forming a dielectric layer overlying the semiconductor substrate. The method also includes forming a gate layer overlying the dielectric layer and forming a hard mask overlying the gate layer. The method patterns the gate layer to form a gate structure including edges using the hard mask as a protective layer. The method forms a dielectric layer overlying the gate structure to protect the gate structure including the edges. The method forms spacers from the dielectric layer, while maintaining the hard mask overlying the gate structure. The method etches a source region and a drain region adjacent to the gate structure using the dielectric layer and the hard mask as a protective layer, while the hard mask prevents any portion of the gate structure from being exposed. In a preferred embodiment, the method maintains the hard mask overlying the gate structure.
    Type: Grant
    Filed: December 12, 2006
    Date of Patent: July 7, 2009
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: John Chen, Hanming Wu, Da Wei Gao, Bei Zhu, Paolo Bonfanti
  • Patent number: 7556954
    Abstract: Disclosed are a MOS transistor having a low resistance ohmic contact characteristic and a manufacturing method thereof capable of improving a drive current of the MOS transistor. A gate oxide layer, a gate electrode, and a spacer are formed on a silicon substrate, and a silicon carbide layer is deposited thereon. A photolithography process is performed, and the silicon carbide layer is etched except for predetermined portions corresponding to source-drain regions and the gate electrode. Then, a metal layer is formed on the resulting structure after performing a source-drain ion implantation process. The metal layer is heated to form a salicide layer on the gate electrode and the source-drain diffusion regions. Then, the unreacted metal layer is removed, thereby forming the MOS transistor.
    Type: Grant
    Filed: September 25, 2006
    Date of Patent: July 7, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Hyuk Park
  • Publication number: 20090170259
    Abstract: One embodiment relates to a method of forming an integrated circuit. In this method, at least one dopant species of a first conductivity type is implanted in a first manner along a first axis to form first pocket implant regions extending at least partially under some gates. At least one dopant species of the first conductivity type is then implanted in a second manner that differs from the first manner along a second axis that is laterally rotated with respect to the first axis to form second pocket implant regions extending at least partially under other gates.
    Type: Application
    Filed: December 19, 2008
    Publication date: July 2, 2009
    Applicant: Texas Instruments Incorporated
    Inventors: Brian Edward Hornung, Rajesh Gupta, Mike Voisard
  • Publication number: 20090170254
    Abstract: In a method of manufacturing a semiconductor device, a first gate electrode and a second gate electrode are formed in a first area and a second area of a substrate. Non-crystalline regions are formed in the first area of the substrate adjacent the first gate electrode. A layer having a first stress is formed on the substrate and the first and the second gate electrodes. A mask is formed on a first portion of the layer in the first area of the substrate to expose a second portion of the layer in the second area. The second portion is etched to form a sacrificial spacer on a sidewall of the second gate electrode. The second area of the substrate is partially etched using the mask, the second gate electrode and the sacrificial spacer, to form recesses in the second area of the substrate adjacent the second gate electrode. Patterns having a second stress are formed in the recesses.
    Type: Application
    Filed: December 23, 2008
    Publication date: July 2, 2009
    Inventors: Hwa-Sung Rhee, Ho Lee, Myung-Sun Kim, Ji-Hye Yi
  • Patent number: 7553718
    Abstract: A method (100) of forming semiconductor structures (202) including high-temperature processing steps (step 118), incorporates the use of a high-temperature nitride-oxide mask (220) over protected regions (214) of the device (202). The invention has application in many different embodiments, including but not limited to, the formation of recess, strained device regions (224).
    Type: Grant
    Filed: January 28, 2005
    Date of Patent: June 30, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Periannan Chidambaram, Haowen Bu, Rajesh Khamankar, Douglas T. Grider
  • Patent number: 7550336
    Abstract: A method for fabricating an NMOS transistor is disclosed. First, a substrate having a gate structure thereon is provided. A carbon implantation process is performed thereafter by implanting carbon atoms into the substrate for forming a silicon carbide region in the substrate. Subsequently, a source/drain region is formed surrounding the gate structure. By conducting a carbon implantation process into the substrate and a corresponding amorphorized implantation process before or after the carbon implantation process is completed, the present invention eliminates the need of forming a recess for accommodating an epitaxial layer composed of silicon carbide while facilitates the formation of silicon carbide from the combination of both implantation processes.
    Type: Grant
    Filed: November 21, 2006
    Date of Patent: June 23, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Tsai-Fu Hsiao, Po-Yuan Chen, Jung-Chin Chen
  • Patent number: 7547595
    Abstract: A method for forming CMOS integrated circuits. The method forms a blanket layer of silicon dioxide overlying an entirety of the surface region of a first well region and a second well region provided on a semiconductor substrate. The blanket layer of silicon dioxide is overlying the hard mask on the first gate structure and the second gate structure. The blanket layer of silicon dioxide is also overlying a region to be protected. Depending upon the embodiment, the region can be a sidewall spacer structure and portion of an MOS device on a peripheral region of the substrate. Of course, there can be other variations, modifications, and alternatives. The method protects the region to be protected using a masking layer, while the surface region of the first well region and the second well region being exposed.
    Type: Grant
    Filed: June 19, 2006
    Date of Patent: June 16, 2009
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: Xian J. Ning
  • Publication number: 20090137089
    Abstract: A method of manufacturing a metal-oxide-semiconductor (MOS) transistor device is disclosed. A gate dielectric layer is formed on an active area of a substrate. A gate electrode is patterned on the gate dielectric layer. The gate electrode has vertical sidewalls and a top surface. A liner is formed on the vertical sidewalls of the gate electrode. A nitride spacer is formed on the liner. An ion implanted is performed to form a source/drain region. After salicide process, an STI region that isolates the active area is recessed, thereby forming a step height at interface between the active area and the STI region. The nitride spacer is removed. A nitride cap layer that borders the liner is deposited. The nitride cap layer has a specific stress status.
    Type: Application
    Filed: February 5, 2009
    Publication date: May 28, 2009
    Inventors: Shyh-Fann Ting, Cheng-Tung Huang, Wen-Han Hung, Tzyy-Ming Cheng, Tzer-Min Shen, Yi-Chung Sheng
  • Patent number: 7534677
    Abstract: A method of fabricating a dual gate oxide of a semiconductor device includes forming a first gate insulation layer over an entire surface of a substrate, removing a portion of the first gate insulation layer to selectively expose a first region of the substrate using a first mask and performing an ion implantation on the selectively exposed first region of the substrate using the first mask, and forming a second gate insulation layer on the first gate insulation layer and the exposed first region of the substrate to form a resultant gate insulation layer having a first thickness over the first region of the substrate and a second thickness over a remaining region of the substrate, the first thickness and the second thickness being different.
    Type: Grant
    Filed: January 14, 2005
    Date of Patent: May 19, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyae-ryoung Lee, Su-gon Bae
  • Publication number: 20090117696
    Abstract: A fully logic process compatible non-volatile memory cell has a well on a substrate, a pair of source and drain outside the well, a channel between the source and drain, a control gate in the well, and a floating gate having a first portion above the channel, and a second portion above the well. The control gate includes two regions having opposite conductivity types and a third region between the two regions and under the second portion of the floating gate, and thus eliminates the parasitic depletion capacitor in the coupling path of the cell, thereby improving the coupling ratio.
    Type: Application
    Filed: December 22, 2008
    Publication date: May 7, 2009
    Inventor: Hung-Der Su
  • Patent number: 7528067
    Abstract: A metal oxide semiconductor field effect transistor (MOSFET) structure that includes multiple and distinct self-aligned silicide contacts and methods of fabricating the same are provided. The MOSFET structure includes at least one metal oxide semiconductor field effect transistor having a gate conductor including a gate edge located on a surface of a Si-containing substrate; a first inner silicide having an edge that is substantially aligned to the gate edge of the at least one metal oxide semiconductor field effect transistor; and a second outer silicide located adjacent to the first inner silicide. In accordance with the present invention, the second outer silicide has second thickness is greater than the first thickness of the first inner silicide. Moreover, the second outer silicide has a resistivity that is lower than the resistivity of the first inner silicide.
    Type: Grant
    Filed: October 6, 2006
    Date of Patent: May 5, 2009
    Assignee: International Business Machines Corporation
    Inventors: Kevin K. Chan, Christian Lavoie, Kern Rim
  • Patent number: 7521326
    Abstract: It is an object of the present invention to provide a semiconductor device superior in the decrease in leak current due to a short-channel effect and a manufacturing method thereof. In a process of forming a field-effect transistor over a single-crystal semiconductor substrate, an impurity is introduced to form an extension region and a single crystal lattice is broken to make the extension region amorphous. Alternatively, the impurity and an element having large mass number are introduced to break the single crystal lattice and make the extension region amorphous. Then, a laser beam with a pulse width of 1 fs to 10 ps and a wavelength of 370 to 640 nm is delivered to selectively activate the amorphous portion, so that the extension region is formed with a thickness of 20 nm or less.
    Type: Grant
    Filed: November 23, 2005
    Date of Patent: April 21, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Koichiro Tanaka
  • Patent number: 7479422
    Abstract: A method for forming a semiconductor device includes providing a substrate region having a first material and a second material overlying the first material, wherein the first material has a different lattice constant from a lattice constant of the second material. The method further includes etching a first opening on a first side of a gate and etching a second opening on a second side of the gate. The method further includes creating a first in-situ p-type doped epitaxial region in the first opening and the second opening, wherein the first in-situ doped epitaxial region is created using the second material. The method further includes creating a second in-situ n-type doped expitaxial region overlying the first in-situ p-type doped epitaxial region in the first opening and the second opening, wherein the second in-situ n-type doped epitaxial region is created using the second material.
    Type: Grant
    Filed: March 10, 2006
    Date of Patent: January 20, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Brian A. Winstead, Ted R. White, Da Zhang
  • Publication number: 20090001371
    Abstract: A technique is presented which provides for a selective pre-amorphization of source/drain regions of a transistor while preventing pre-amorphization of a gate electrode of the transistor. Illustrative embodiments include the formation of a pre-amorphization implant blocking material over the gate electrode. Further illustrative embodiments include inducing a strain in a channel region by use of various stressors.
    Type: Application
    Filed: February 5, 2008
    Publication date: January 1, 2009
    Inventors: Anthony Mowry, Markus Lenski, Andy Wei, Roman Boschke
  • Patent number: 7468313
    Abstract: A semiconductor fabrication process preferably used with a semiconductor on insulator (SOI) wafer. The wafer's active layer is biaxially strained and has first and second regions. The second region is amorphized to alter its strain component(s). The wafer is annealed to re-crystallize the amorphous semiconductor. First and second types of transistors are fabricated in the first region and the second region respectively. Third and possibly fourth regions of the active layer may be processed to alter their strain characteristics. A sacrificial strain structure may be formed overlying the third region. The strain structure may be a compressive. When annealing the wafer with the strain structure in place, its strain characteristics may be mirrored in the third active layer region. The fourth active layer region may be amorphized in stripes that run parallel to a width direction of the transistor strain to produce uniaxial stress in the width direction.
    Type: Grant
    Filed: May 30, 2006
    Date of Patent: December 23, 2008
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Voon-Yew Thean, Victor H. Vartanian, Brian A. Winstead
  • Patent number: 7462537
    Abstract: A method of fabricating a non-volatile memory is provided. A substrate having a trench therein for forming a trench device is provided. Then, a doped metal silicide layer is formed on the substrate in the trench. A heating process is performed to form a source/drain area in the substrate under the doped metal silicide layer. Thereafter, a first conductive layer is formed on the doped metal silicide layer to fill up the trench.
    Type: Grant
    Filed: July 7, 2006
    Date of Patent: December 9, 2008
    Assignee: Powerchip Semiconductor Corp.
    Inventors: Pin-Yao Wang, Liang-Chuan Lai
  • Patent number: 7456068
    Abstract: A method to form an ultra-shallow junction is described. In one embodiment, a replacement gate process is utilized to enable the overlap of a gate electrode over the regions of a semiconductor substrate where tip extensions reside. In another embodiment, a sacrificial spacer is utilized in conjunction with the replacement gate process. In one embodiment, an initial gate electrode is formed with a gate length smaller than the desired final gate length and is subsequently replaced with an expanded gate electrode having the desired gate length.
    Type: Grant
    Filed: June 8, 2006
    Date of Patent: November 25, 2008
    Assignee: Intel Corporation
    Inventors: Jack T. Kavalieros, Mark Y. Liu, Suman Datta
  • Publication number: 20080283936
    Abstract: Provided is a method for manufacturing a semiconductor device that includes a substrate having a PMOS device region and NMOS device region. A first gate structure including a first hardmask and a second gate structure including a second hardmask are formed in the region and region, respectively. Epitaxial SiGe regions are created in the substrate proximate the first gate structure, the first hardmask protecting the first gate structure from the SiGe. First source/drain regions are formed proximate the first gate structure, at least a portion of each of the first source/drain regions located within one of the SiGe regions. Additionally, a raised portion is grown above the substrate proximate the second gate structure, the portion forming at least a part of second source/drain regions located on opposing sides of the second gate structure. Additionally, the first and second hardmasks protect the first and second gate structures from the growing.
    Type: Application
    Filed: May 18, 2007
    Publication date: November 20, 2008
    Applicant: Texas Instruments Incorporated
    Inventors: Seetharaman Sridhar, Majid Mansoori
  • Patent number: 7453120
    Abstract: A method for fabricating a semiconductor structure is described. A substrate is provided, having thereon a gate structure and a spacer on the sidewall of the gate structure and having therein an S/D extension region beside the gate structure. An opening is formed in the substrate beside the spacer, and then an S/D region is formed in or on the substrate at the bottom of the opening. A metal silicide layer is formed on the S/D region and the gate structure, and then a stress layer is formed over the substrate.
    Type: Grant
    Filed: July 13, 2007
    Date of Patent: November 18, 2008
    Assignee: Unitd Microelectronics Corp.
    Inventors: Shyh-Fann Ting, Cheng-Tung Huang, Wen-Han Hung, Li-Shian Jeng, Tzyy-Ming Cheng
  • Patent number: 7432167
    Abstract: The present invention provides a method of fabricating strained silicon channel MOS transistor, comprising providing a substrate, forming at least a gate structure on the substrate, forming a mask layer on the gate structure, performing an etching process to form two recesses corresponding to the gate structure within the substrate, performing a selective epitaxial growth (SEG) process to form an epitaxial layer in the recesses respectively, and performing an ion implantation process for the epitaxial layers to form a source/drain region.
    Type: Grant
    Filed: January 10, 2007
    Date of Patent: October 7, 2008
    Assignee: United Microelectronics Corp.
    Inventor: Chao-Ching Hsieh
  • Patent number: 7432136
    Abstract: In one illustrative embodiment, the method comprises providing an SOI substrate comprised of an active layer, a buried insulation layer and a bulk substrate, the active layer being doped with a first type of dopant material, the bulk substrate having an inner well formed therein adjacent a surface of the bulk substrate and under the active layer, the inner well being doped with the first type of dopant material, forming a transistor above the SOI substrate in an area above the inner well and applying a voltage to the inner well to vary a threshold voltage of the transistor. In some embodiments, the method further comprises forming an NMOS transistor, wherein the active layer and the inner well are doped with a P-type dopant material. In other embodiments, the method further comprises forming a PMOS transistor, wherein the active layer and the inner well are doped with an N-type dopant material.
    Type: Grant
    Filed: May 6, 2002
    Date of Patent: October 7, 2008
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark B. Fuselier, Derick J. Wristers, Andy C. Wei
  • Patent number: 7432146
    Abstract: To make it possible to obtain a sharp impurity profile without presenting a disadvantage such as an increase in parasitic resistance or the like using a laser annealing method to thereby meet sufficiently the requirements for making a semiconductor element finer and more highly integrated. A gate electrode is pattern formed above a semiconductor substrate made of n-type silicon single crystal through a gate insulating film. Thereafter, atoms, Ge+ here, having properties just enough to amorphize single crystal Si are ion implanted (shown by arrows) from oblique directions to the Si surface of the substrate with the gate electrode as a mask to melt and re-crystallize the single crystal Si so as to form amorphous regions which seep into the substrate under the gate electrode. Thereafter B+ ions are implanted into the amorphous regions and laser irradiation is executed thereon.
    Type: Grant
    Filed: February 27, 2002
    Date of Patent: October 7, 2008
    Assignee: Fujitsu Limited
    Inventor: Tomonari Yamamoto
  • Publication number: 20080217663
    Abstract: NFET and PFET devices with separately strained channel regions, and methods of their fabrication is disclosed. A stressing layer overlays the device in a manner that the stressing layer is non-conformal with respect the gate. The non-conformality of the stressing layer increases the amount of stress that is imparted onto the channel of the device, in comparison to stressing layers which are conformal. The method for overlaying in a non-conformal manner includes non-conformal deposition techniques, as well as, conformal depositions where subsequently the layer is turned into a non-conformal one by etching.
    Type: Application
    Filed: March 6, 2007
    Publication date: September 11, 2008
    Inventors: Bruce B. Doris, Xiao Hu Liu
  • Publication number: 20080220574
    Abstract: A method of fabricating a complementary metal oxide semiconductor (CMOS) device is provided. A first conductive type MOS transistor including a source/drain region using a semiconductor compound as major material is formed in a first region of a substrate. A second conductive type MOS transistor is formed in a second region of the substrate. Next, a pre-amorphous implantation (PAI) process is performed to amorphize a gate conductive layer of the second conductive type MOS transistor. Thereafter, a stress-transfer-scheme (STS) is formed on the substrate in the second region to generate a stress in the gate conductive layer. Afterwards, a rapid thermal annealing (RTA) process is performed to activate the dopants in the source/drain region. Then, the STS is removed.
    Type: Application
    Filed: March 5, 2007
    Publication date: September 11, 2008
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Li-Shian Jeng, Cheng-Tung Huang, Shyh-Fann Ting, Wen-Han Hung, Kun-Hsien Lee, Meng-Yi Wu, Tzyy-Ming Cheng
  • Patent number: 7416931
    Abstract: Methods are provided for fabricating a stress enhanced MOS circuit. One method comprises the steps of depositing a stressed material overlying a semiconductor substrate and patterning the stressed material to form a stressed dummy gate electrode overlying a channel region in the semiconductor substrate so that the stressed dummy gate induces a stress in the channel region. Regions of the semiconductor substrate adjacent the channel are processed to maintain the stress to the channel region and the stressed dummy gate electrode is replaced with a permanent gate electrode.
    Type: Grant
    Filed: August 22, 2006
    Date of Patent: August 26, 2008
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Gen Pei
  • Patent number: 7413946
    Abstract: Wells are formed in a substrate where standard Vt and low Vt devices of both a first and second type are to be fabricated. Wells defining the locations of first type standard Vt devices are masked, and a first voltage threshold implant adjustment is performed within wells defining the second type standard Vt devices, and each of the first and second type low Vt devices. Wells that define the locations of second type standard Vt devices are masked, and a second voltage threshold implant adjustment is performed to the wells defining the first type standard Vt devices, and each of the first and second type low Vt devices. Doped polysilicon gate stacks are then formed over the wells. Performance characteristics and control of each device Vt is controlled by regulating at least one of the first and second voltage threshold implant adjustments, and the polysilicon gate stack doping.
    Type: Grant
    Filed: December 4, 2006
    Date of Patent: August 19, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Mark Helm, Xianfeng Zhou
  • Patent number: 7414277
    Abstract: A memory device and a method of fabrication are provided. The memory device includes a semiconductor substrate and a charge trapping dielectric stack disposed over the semiconductor substrate. A gate electrode is disposed over the charge trapping dielectric stack, where the gate electrode electrically defines a channel within a portion of the semiconductor substrate. The memory device includes a pair of raised bitlines, where the bitlines have a lower portion formed by a first process and an upper portion formed by a second process.
    Type: Grant
    Filed: April 22, 2005
    Date of Patent: August 19, 2008
    Assignee: Spansion, LLC
    Inventors: Ashot Melik-Martirosian, Takashi Orimoto, Mark T. Ramsbey