With Particular Manufacturing Method Of Source Or Drain, E.g., Specific S Or D Implants Or Silicided S Or D Structures Or Raised S Or D Structures (epo) Patents (Class 257/E21.634)
  • Publication number: 20080191244
    Abstract: A method of fabricating an integrated circuit device includes forming first and second gate patterns on surfaces of a semiconductor substrate in PMOS and NMOS regions, respectively, of the substrate. P-type source/drain regions are epitaxially grown on opposite sides of the first gate pattern in the PMOS region to exert compressive stress on a first channel region therebetween adjacent the first gate pattern. N-type source/drain regions are epitaxially grown on opposite sides of the second gate pattern in the NMOS region to exert tensile stress on a second channel region therebetween adjacent the second gate pattern. Related devices are also discussed.
    Type: Application
    Filed: September 27, 2007
    Publication date: August 14, 2008
    Inventors: Ki chul Kim, Ho Lee, Jung-deog Lee
  • Patent number: 7410875
    Abstract: A method for fabricating a semiconductor structure is described. A substrate is provided, having thereon a gate structure and a spacer on the sidewall of the gate structure and having therein an S/D extension region beside the gate structure. An opening is formed in the substrate beside the spacer, and then an S/D region is formed in or on the substrate at the bottom of the opening. A metal silicide layer is formed on the S/D region and the gate structure, and then a stress layer is formed over the substrate.
    Type: Grant
    Filed: April 6, 2006
    Date of Patent: August 12, 2008
    Assignee: United Microelectronics Corp.
    Inventors: Shyh-Fann Ting, Cheng-Tung Huang, Wen-Han Hung, Li-Shian Jeng, Tzyy-Ming Cheng
  • Patent number: 7410876
    Abstract: A method for making a semiconductor device, comprising (a) providing a structure comprising a gate electrode (207) disposed on a substrate (203); (b) creating first (213) and second (214) pre-amorphization implant regions in the substrate such that the first and second pre-amorphization implant regions are asymmetrically disposed with respect to said gate electrode; (c) creating first (219) and second (220) spacer structures adjacent to first and second sides of the gate electrode, wherein the first and second spacer structures overlap the first and second pre-amorphization implant regions; and (d) creating source (217) and drain (218) regions in the substrate adjacent, respectively, to the first and second spacer structures.
    Type: Grant
    Filed: April 5, 2007
    Date of Patent: August 12, 2008
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Byoung W. Min, Jon D. Cheek, Venkat R. Kolagunta
  • Publication number: 20080185612
    Abstract: A semiconductor device has a Si substrate, a gate insulating film over the Si substrate, a gate electrode over the gate insulating film, a source region and a drain region in the Si substrate, wherein each of the source region and the drain region includes a first Si layer including Ge, an interlayer over the first Si layer, and a second Si layer including Ge over the interlayer, wherein the interlayer is composed of Si or Si including Ge, and a Ge concentration of the interlayer is less than a Ge concentration of the first Si layer and a Ge concentration of the second Si layer.
    Type: Application
    Filed: February 6, 2008
    Publication date: August 7, 2008
    Applicant: FUJITSU LIMITED
    Inventors: Masahiro FUKUDA, Yosuke SHIMAMUNE
  • Patent number: 7402484
    Abstract: Methods for forming a field effect transistor are disclosed. An illustrated method comprises: forming a gate electrode on a substrate; and forming a nitride layer on at least a part of the gate electrode and the substrate.
    Type: Grant
    Filed: September 30, 2004
    Date of Patent: July 22, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventors: Hyunsoo Shin, Kyusung Kim
  • Patent number: 7402479
    Abstract: A fabricating method of a CMOS image sensor includes the steps of: forming a transfer gate on a semiconductor substrate where a device isolation layer is formed; forming a first n-type ion implantation region for a photodiode beneath a surface of the semiconductor substrate, the first n-type ion implantation region being aligned at one side of the transfer gate and having a first width and a first ion implantation depth; forming a second n-type ion implantation region aligned at one side of the transfer gate, the second n-type ion implantation region enclosing the first n-type ion implantation region and having a second width wider than the first width and a second ion implantation depth deeper than the first ion implantation depth and a second depth; forming a p-type ion implantation region between a surface of the semiconductor substrate and the first n-type ion implantation region, the p-type ion implantation region being aligned at one side of the transfer gate and partially overlapped with the first n-ty
    Type: Grant
    Filed: May 6, 2005
    Date of Patent: July 22, 2008
    Assignee: MagnaChip Semiconductor, Ltd.
    Inventor: Youn-Sub Lim
  • Publication number: 20080171412
    Abstract: Fabrication methods for a MOS device and a CMOS device are provided. A substrate is provided with a gate structure formed on the substrate, a lightly-doped drain (LDD) region formed near sides of the gate structure in the substrate and a spacer wall formed on sidewalls of the gate structure and covering a part of the LDD region. A protection layer is formed for covering the gate structure, the LDD region and the spacer wall. A part of the protection layer is removed. Another part of the protection layer on the gate structure and the spacer wall is reserved. A part of the surface of the substrate is exposed. The exposed surface of the substrate is removed for forming a trench. A pre-clean step, including an oxygen plasma process, is performed on the bottom of the trench. An epitaxy material layer is formed in the trench.
    Type: Application
    Filed: January 12, 2007
    Publication date: July 17, 2008
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Po-Lun Cheng, Che-Hung Liu
  • Publication number: 20080171414
    Abstract: A method of fabricating a semiconductor device according to an example embodiment may include forming an isolation layer defining an active region in a semiconductor substrate, forming a silicon pattern and a sacrificial pattern on the active region, the sacrificial pattern including a semiconductor material different from the silicon pattern, forming a gate spacer on a sidewall of the silicon pattern and a sidewall of the sacrificial pattern, removing the sacrificial pattern to expose a top surface of the silicon pattern, and/or forming a gate silicide on the silicon pattern.
    Type: Application
    Filed: January 10, 2008
    Publication date: July 17, 2008
    Inventor: Ki-Chul Kim
  • Patent number: 7390711
    Abstract: A MOS transistor including a gate insulation layer and a gate electrode layer on a channel region of a semiconductor substrate. A gate spacer layer is formed on a sidewall of the electrode layer and the insulation layer. The transistor includes a deep extended source/drain region, a first source/drain region that is deeper than the extended source/drain region, and a second source/drain region that is shallower than the extended source/drain region.
    Type: Grant
    Filed: December 15, 2005
    Date of Patent: June 24, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Dong-Il Byun
  • Patent number: 7381623
    Abstract: The embodiments of the invention provide a method, etc. for a pre-epitaxial disposable spacer integration scheme with very low temperature selective epitaxy for enhanced device performance. More specifically, one method begins by forming a first gate and a second gate on a substrate. Next, an oxide layer is formed on the first and second gates; and, a nitride layer is formed on the oxide layer. Portions of the nitride layer proximate the first gate, portions of the oxide layer proximate the first gate, and portions of the substrate proximate the first gate are removed so as to form source and drain recesses proximate the first gate. Following this, the method removes remaining portions of the nitride layer, including exposing remaining portions of the oxide layer. The removal of the remaining portions of the nitride layer only exposes the remaining portions of the oxide layer and the source and drain recesses.
    Type: Grant
    Filed: January 17, 2007
    Date of Patent: June 3, 2008
    Assignee: International Business Machines Corporation
    Inventors: Huajie Chen, Judson R. Holt, Kern Rim, Dominic J. Schepis
  • Publication number: 20080124860
    Abstract: MOSFET devices suitable for operation at gate lengths less than about 40 nm, and methods of their fabrication is being presented. The MOSFET devices include a ground plane formed of a monocrystalline Si based material. A Si based body layer is epitaxially disposed over the ground plane. The body layer is doped with impurities of opposite type than the ground plane. The gate has a metal with a mid-gap workfunction directly contacting a gate insulator layer. The gate is patterned to a length of less than about 40 nm, and possibly less than 20 nm. The source and the drain of the MOSFET are doped with the same type of dopant as the body layer. In CMOS embodiments of the invention the metal in the gate of the NMOS and the PMOS devices may be the same metal.
    Type: Application
    Filed: January 14, 2008
    Publication date: May 29, 2008
    Applicant: International Business Machines Corporation
    Inventors: Jack Oon Chu, Bruce B. Doris, Meikei Ieong, Jing Wang
  • Publication number: 20080113476
    Abstract: Embodiments herein present a device, method, etc. for a dual-plane complementary metal oxide semiconductor. The device comprises a fin-type transistor on a bulk silicon substrate. The fin-type transistor comprises outer fin regions and a center semiconductor fin region, wherein the center fin region has a {110} crystalline oriented channel surface. The outer fin regions comprise a strain inducing impurity that stresses the center semiconductor fin region. The strain inducing impurity contacts the bulk silicon substrate, wherein the strain inducing impurity comprises germanium and/or carbon. Further, the fin-type transistor comprises a thick oxide member on a top face thereof. The fin-type transistor also comprises a first transistor on a first crystalline oriented surface, wherein the device further comprises a second transistor on a second crystalline oriented surface that differs from the first crystalline oriented surface.
    Type: Application
    Filed: January 16, 2008
    Publication date: May 15, 2008
    Applicant: International Business Machines Corporation
    Inventors: Brent Anderson, Edward Nowak
  • Publication number: 20080102573
    Abstract: A method of forming a semiconductor structure includes forming a PMOS device and an NMOS device. The step of forming the PMOS device includes forming a first gate stack on a semiconductor substrate; forming a first offset spacer on a sidewall of the first gate stack; forming a stressor in the semiconductor substrate using the first offset spacer as a mask; and epitaxially growing a first raised source/drain extension (LDD) region on the stressor. The step of forming the NMOS device includes forming a second gate stack on the semiconductor substrate; forming a second offset spacer on a sidewall of the second gate stack; epitaxially growing a second raised LDD region on the semiconductor substrate using the second offset spacer as a mask; and forming a deep source/drain region adjoining the second raised LDD region.
    Type: Application
    Filed: October 27, 2006
    Publication date: May 1, 2008
    Inventors: Chun-Sheng Liang, Hung-Ming Chen, Chien-Chao Huang, Fu-Liang Yang
  • Publication number: 20080102574
    Abstract: A manufacturing method of a CMOS semiconductor device includes using, in an nMOS, spike RTA (first annealing) together with ultra-rapid rising/falling temperature annealing (second annealing) whose temperature increase/decrease rate is higher than that of the spike RTA, and applying the ultra-rapid rising/falling temperature annealing (second annealing) alone in a pMOS, when activating a shallow source/drain extension region.
    Type: Application
    Filed: October 24, 2007
    Publication date: May 1, 2008
    Inventor: Takayuki Ito
  • Patent number: 7365010
    Abstract: Methods of fabricating semiconductor devices having a carbon-containing metal silicide layer and semiconductor devices fabricated by the methods are provided. A representative method includes the steps of preparing a semiconductor substrate and forming a gate electrode and source/drain regions on the semiconductor substrate, such that the gate electrode has a first metal silicide layer on an upper part thereof which contains carbon and the source/drain regions have second metal silicide layers on their substantially carbon-free upper parts.
    Type: Grant
    Filed: December 7, 2005
    Date of Patent: April 29, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hwa-sung Rhee, Hion-suck Baik, Dong-suk Shin, Tetsuji Ueno, Seung-hwan Lee, Ho Lee
  • Publication number: 20080073713
    Abstract: A method of fabricating a semiconductor device having a stress enhanced MOS transistor is provided. A MOS transistor may be formed in a desired, or alternatively, a predetermined region of a semiconductor substrate. A first sacrificial pattern, formed over the source and drain regions of a MOS transistor, may expose sidewall spacers and cover the upper region of the gate pattern. Thinner spacers may be formed by etching the exposed sidewall spacers using the first sacrificial pattern as an etch mask. A stress liner may be formed over the MOS transistor having the thinner spacers.
    Type: Application
    Filed: April 23, 2007
    Publication date: March 27, 2008
    Inventors: Ki-Chul Kim, Dong-Suk Shin
  • Patent number: 7348233
    Abstract: Methods are provided for fabricating a CMOS device having a silicon substrate including a first N-type region and a second P-type region. The method includes the steps of forming a first gate electrode overlying the first N-type region and a second gate electrode overlying the second P-type region. P-type source and drain regions are ion implanted into the first N-type region, and N-type source and drain regions are ion implanted into the second P-type region. First silicide regions, spaced apart from the first gate electrode by a first distance, are formed contacting the P-type source and drain regions, and second silicide regions, spaced apart from the second gate electrode by a second distance less than the first distance, are formed contacting the N-type source and drain regions.
    Type: Grant
    Filed: August 19, 2005
    Date of Patent: March 25, 2008
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Martin Gerhardt, Igor Peidous
  • Patent number: 7348248
    Abstract: A semiconductor structure and a method for forming the same are provided. The semiconductor structure includes a gate dielectric over a substrate, a gate electrode over the gate dielectric, a slim gate spacer along a side of the gate electrode, and a source/drain region substantially aligned with an edge of the slim gate spacer. The source/drain region includes a first implantation region having an overlap with the gate electrode, a second implantation region further away from the channel region than the first implantation region, and a third implantation region further away from the channel region than the second implantation region. The source/drain region preferably further comprises an epitaxy region spaced apart from the slim gate spacer.
    Type: Grant
    Filed: July 12, 2005
    Date of Patent: March 25, 2008
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Shui-Ming Cheng
  • Patent number: 7344984
    Abstract: A method and a semiconductor device are provided in which respective contact layers having a specific intrinsic stress may be directly formed on respective metal silicide regions without undue metal silicide degradation during an etch process for removing an unwanted portion of an initially deposited contact layer. Moreover, due to the inventive concept, the strain-inducing contact layers may be formed directly on the respective substantially L-shaped spacer elements, thereby enhancing even more the stress transfer mechanism.
    Type: Grant
    Filed: August 30, 2006
    Date of Patent: March 18, 2008
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Jan Hoentschel, Andy Wei, Markus Lenski, Peter Javorka
  • Patent number: 7329570
    Abstract: An exemplary method of manufacturing a semiconductor device according to an embodiment of the present invention includes forming a P-well and an N-well for high voltage (HV) devices and a first well in a low voltage/medium voltage (LV/MV) region for a logic device, in a semiconductor substrate; simultaneously forming a second well in the LV/MV region for a logic device and a drift region for one of the HV devices using the same mask; and respectively forming gate oxide layers on the semiconductor substrate in the HV/MV/LV regions. According to the present invention, the number of photolithography processes can be reduced by replacing or combining an additional mask for forming an extended drain region of a high voltage depletion-enhancement CMOS (DECMOS) with a mask for forming a typical well of a logic device, so productivity of the total process of the device can be enhanced.
    Type: Grant
    Filed: December 27, 2005
    Date of Patent: February 12, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Kyung-Ho Lee
  • Patent number: 7326622
    Abstract: A method of manufacturing a metal-oxide-semiconductor (MOS) transistor device is disclosed. A semiconductor substrate having a main surface is prepared. A gate dielectric layer is formed on the main surface. A gate electrode is patterned on the gate dielectric layer. The gate electrode has vertical sidewalls and a top surface. A liner is formed on the vertical sidewalls of the gate electrode. A silicon nitride spacer is formed on the liner. The main surface is then ion implanted using the gate electrode and the silicon nitride spacer as an implantation mask, thereby forming a source/drain region of the MOS transistor device in the main surface. The silicon nitride spacer is removed. A silicon nitride cap layer that borders the liner is deposited. The silicon nitride cap layer has a specific stress status.
    Type: Grant
    Filed: November 8, 2005
    Date of Patent: February 5, 2008
    Assignee: United Microelectronics Corp.
    Inventors: Yi-Cheng Liu, Jiunn-Ren Hwang, Wei-Tsun Shiau, Cheng-Tung Huang, Kuan-Yang Liao
  • Publication number: 20080017865
    Abstract: A thin film transistor substrate includes a thin film transistor of a first conductivity type, a semiconductor layer having a channel region of the first conductivity type placed between the source/drain regions, a gate electrode formed to an opposite face to the semiconductor layer with an gate insulating film interposed therebetween, an opening in the gate electrode corresponding to both edges in a channel width direction of the channel region. In the channel region corresponding to the opening, a highly concentrated impurity region having a higher impurity concentration of the first conductivity type than the channel corresponding to the gate electrode is formed.
    Type: Application
    Filed: June 19, 2007
    Publication date: January 24, 2008
    Applicant: MITSUBISHI ELECTRIC CORPORATION
    Inventors: Yasuyoshi Itoh, Atsunori Nishiura
  • Patent number: 7319061
    Abstract: In a method for fabricating an electronic device including a transistor with a drain extension structure, a correspondence between a size of a gate electrode of the transistor and ion implantation conditions or heat treatment conditions for forming the drain extension structure is previously obtained. This correspondence satisfies that the transistor has a given threshold voltage. After formation of the gate electrode and measurement of the size of the gate electrode, ion implantation conditions or heat treatment conditions for forming the drain extension structure are set based on the previously-obtained correspondence and the measured size of the gate electrode. Ion implantation or heat treatment for forming the drain extension structure is performed under the ion implantation conditions or heat treatment conditions that have been set.
    Type: Grant
    Filed: October 26, 2006
    Date of Patent: January 15, 2008
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Satoshi Shibata, Fumitoshi Kawase, Hisako Kamiyanagi, Emi Kanazaki
  • Patent number: 7314789
    Abstract: A semiconductor structure and method that is capable of generating a local mechanical gate stress for channel mobility modification are provided. The semiconductor structure includes at least one NFET and at least one PFET on a surface of a semiconductor substrate. The at least one NFET has a gate stack structure comprising a gate dielectric, a first gate electrode layer, a barrier layer, a Si-containing second gate electrode layer and a compressive metal, and the at least one PFET has a gate stack structure comprising a gate dielectric, a first gate electrode layer, a barrier layer and a tensile metal or a silicide.
    Type: Grant
    Filed: December 30, 2006
    Date of Patent: January 1, 2008
    Assignee: International Business Machines Corporation
    Inventors: Cyril Cabral, Jr., Bruce B. Doris, Thomas S. Kanarsky, Xiao H. Liu, Huilong Zhu
  • Publication number: 20070298573
    Abstract: The invention is directed to a method for manufacturing a semiconductor device. The method comprises steps of forming a gate dielectric layer, a polysilicon layer and a patterned cap layer over a substrate sequentially and patterning the polysilicon layer to be a polysilicon gate by using the patterned cap layer as a mask. A plurality of lightly doped drain (LDD) regions are formed in the substrate aside the polysilicon gate, wherein a channel region is formed between the LDD regions in the substrate. A spacer is formed on the sidewall of the polysilicon gate and a source/drain region is formed in the substrate adjacent to the spacer. The patterned cap layer is removed and the spacer is removed. A metal silicidation process is performed for transforming the polysilicon gate into a metal silicide gate and forming a metal silicide layer at a surface of the source/drain region.
    Type: Application
    Filed: June 22, 2006
    Publication date: December 27, 2007
    Inventors: Chien-Ting Lin, Liang-Wei Chen, Che-Hua Hsu, Guan-Hua Ma
  • Patent number: 7300832
    Abstract: A method of semiconductor device manufacture provided includes forming a gate insulating layer upon a single crystal semiconductor substrate, forming a gate electrode made from a polycrystal conductive film upon the gate insulating layer, implanting impurity in the gate electrode and in the surface layer of the semiconductor substrate adjacent to or separate from the gate electrode, performing a first heat treatment, and performing a second heat treatment. The first heat treatment performs heat treatment at a temperature that diffuses the impurity implanted mainly in the gate electrode and controls the diffusion of the impurity implanted in the surface layer of the semiconductor substrate. The second heat treatment performs heat treatment at a higher temperature and for a shorter time than the first heat treatment, and at a temperature that activates the impurity implanted in the semiconductor substrate.
    Type: Grant
    Filed: June 16, 2004
    Date of Patent: November 27, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Takayuki Ito, Kyoichi Suguro
  • Patent number: 7282402
    Abstract: According to the embodiments to the present disclosure, the process of making a dual strained channel semiconductor device includes integrating strained Si and compressed SiGe with trench isolation for achieving a simultaneous NMOS and PMOS performance enhancement. As described herein, the integration of NMOS and PMOS can be implemented in several ways to achieve NMOS and PMOS channels compatible with shallow trench isolation.
    Type: Grant
    Filed: March 30, 2005
    Date of Patent: October 16, 2007
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Mariam G. Sadaka, Alexander L. Barr, Dejan Jovanovic, Bich-Yen Nguyen, Voon-Yew Thean, Shawn G. Thomas, Ted R. White
  • Patent number: 7282416
    Abstract: In a method for fabricating an electronic device including a transistor with a drain extension structure, a correspondence between a size of a gate electrode of the transistor and ion implantation conditions or heat treatment conditions for forming the drain extension structure is previously obtained. This correspondence satisfies that the transistor has a given threshold voltage. After formation of the gate electrode and measurement of the size of the gate electrode, ion implantation conditions or heat treatment conditions for forming the drain extension structure are set based on the previously-obtained correspondence and the measured size of the gate electrode. Ion implantation or heat treatment for forming the drain extension structure is performed under the ion implantation conditions or heat treatment conditions that have been set.
    Type: Grant
    Filed: October 4, 2005
    Date of Patent: October 16, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Satoshi Shibata, Fumitoshi Kawase, Hisako Kamiyanagi, Emi Kanazaki
  • Patent number: 7279406
    Abstract: The present invention facilitates semiconductor fabrication by providing methods of fabrication that tailor applied strain profiles to channel regions of transistor devices. A strain profile is selected for the channel regions (104). Recessed regions are formed (106) in active regions of a semiconductor device after formation of gate structures according to the selected strain profile. A recess etch (106) is employed to remove a surface portion of the active regions thereby forming the recess regions. Subsequently, a composition controlled recess structure is formed (108) within the recessed regions according to the selected strain profile. The recess structure is comprised of a strain inducing material, wherein one or more of its components are controlled and/or adjusted during formation (108) to tailor the applied vertical channel strain profile.
    Type: Grant
    Filed: December 22, 2004
    Date of Patent: October 9, 2007
    Assignee: Texas Instruments Incorporated
    Inventor: Elisabeth Marley Koontz
  • Publication number: 20070231990
    Abstract: A method for forming semiconductor transistor. The method comprises providing a structure including (a) a semiconductor region, and (b) first and second dopant source regions on and in direct physical contact with the semiconductor region, wherein each region of the first and second dopant source regions comprises a dielectric material which contains dopants; causing the dopants to diffuse from the first and second dopant source regions into the semiconductor region so as to form first and second source/drain extension regions, respectively, wherein the first and second source/drain extension regions define a channel region disposed between; forming a gate dielectric region on a channel region; and forming a gate region on the gate dielectric region, wherein the gate dielectric region electrically insulates the gate region from the channel region.
    Type: Application
    Filed: June 7, 2007
    Publication date: October 4, 2007
    Inventor: Anthony Speranza
  • Publication number: 20070224751
    Abstract: An IC includes both “volatile” CMOS transistors (FETs) and embedded non-volatile memory (NVM) cells, both including polysilicon gate structures, sidewall oxide layers, sidewall spacer structures, and source/drain regions. The sidewall spacers of both the NVM cells and the FETs are made up of a spacer material with local charge storage nodes that is capable of storing electrical charge (e.g., silicon-nitride with traps or oxide with silicon nanocrystals). The source/drain regions of the NVM cells omit lightly-doped drains (which are used in the CMOS FETs), and the NVM cells are formed with thinner sidewall oxide layers than the CMOS FETs to facilitate programming/erasing operations. A production method includes a modified CMOS process flow where the CMOS FET gate structures receive different source/drain diffusions and oxides than the NVM gate structures, but both receive substantially identical sidewall spacers, which are used as charge storage structures in the NVM cells.
    Type: Application
    Filed: May 24, 2007
    Publication date: September 27, 2007
    Applicant: Tower Semiconductor Ltd.
    Inventors: Yakov Roizin, Amos Fenigstein
  • Publication number: 20070196976
    Abstract: A dose of arsenic for an extension region in an NMOS transistor is in a range from 5×1014 to 2×1015 ions/cm2 and preferably in a range from 1.1×1015 to 1.5×1015 ions/cm2. Also, in addition to arsenic, a low concentration of phosphorus is doped into the extension region by ion implantation. Consequently, with a semiconductor device of the CMOS structure, it is possible to prevent unwanted creeping of silicide that occurs often in the shallow junction region depending on a concentration of an impurity having a low diffusion coefficient as represented by arsenic. Further, not only can the resistance in the shallow junction region be lowered, but also an amount of overlaps can be optimized in each transistor.
    Type: Application
    Filed: April 11, 2007
    Publication date: August 23, 2007
    Applicant: FUJITSU LIMITED
    Inventor: Takashi Saiki
  • Patent number: 7259056
    Abstract: In a method for manufacturing a semiconductor device, gate insulation films and gate electrodes are first formed on a substrate. An impurity is implanted into each gate electrode. Next, a first heat treatment is performed to the substrate for diffusing the impurity in the gate electrodes. After the heat treatment, a second heat treatment is performed for releasing stress generated in the substrate in the first heat-treatment. Thereafter, an impurity is implanted into an area to become an implanted region of the substrate, using the gate electrodes as masks, and a third heat treatment is performed for activating the impurity implanted.
    Type: Grant
    Filed: December 6, 2004
    Date of Patent: August 21, 2007
    Assignee: NEC Electronics Corporation
    Inventor: Akira Mineji
  • Patent number: 7259054
    Abstract: With the objective of suppressing or preventing a kink effect in the operation of a semiconductor device having a high breakdown voltage field effect transistor, n+ type semiconductor regions, each having a conduction type opposite to p+ type semiconductor regions for a source and drain of a high breakdown voltage pMIS, are disposed in a boundary region between each of trench type isolation portions at both ends, in a gate width direction, of a channel region of the high breakdown voltage pMIS and a semiconductor substrate at positions spaced away from p? type semiconductor regions, each having a field relaxing function, of the high breakdown voltage pMIS, so as not to contact the p? type semiconductor regions (on the drain side, in particular). The n+ type semiconductor regions extend to positions deeper than the trench type isolation portions.
    Type: Grant
    Filed: November 15, 2004
    Date of Patent: August 21, 2007
    Assignee: Renesas Technology Corp.
    Inventors: Hideki Yasuoka, Keiichi Yoshizumi, Masami Koketsu
  • Patent number: 7253039
    Abstract: In a method of manufacturing a CMOS transistor, an n-channel MOS transistor is formed on an upper MOS transistor in a first region of an SOI substrate having first and second regions. Next, an insulating layer of the SOI substrate is exposed by removing an upper silicon layer in a second region, and then, a first insulating layer is formed to cover the first and second regions. Next, a silicon epitaxial layer is formed on the first insulating layer of the second region, and then, a p-channel MOS transistor is formed on the silicon epitaxial layer. An n-channel MOS transistor is formed on the upper silicon layer of the SOI substrate and a p-channel MOS transistor on the first insulating layer has a vertical step (relative to the n-channel MOS transistor), so that it is possible to increase integration degree.
    Type: Grant
    Filed: December 27, 2004
    Date of Patent: August 7, 2007
    Assignee: Dongbu Elecotronics Co., Ltd.
    Inventor: Hak-Dong Kim
  • Patent number: 7253060
    Abstract: A gate-all-around (GAA) transistor device has a pair of pillars that include the source/drain regions, a channel region bridging the source/drain regions, and a gate electrode and gate oxide which surround the channel region. The pillars are formed by providing a mono-crystalline silicon substrate, etching the substrate to form a pair of spaced-apart trenches such that a wall of the mono-crystalline silicon stands between the trenches, filling the trenches with insulative material, implanting impurities into the wall of mono-crystalline silicon, and forming an opening in the wall such that portions of the wall remain as pillars. A sacrificial layer is formed at the bottom of the opening. Then, the channel region is formed atop the sacrificial layer between the pillars. The sacrificial layer is subsequently removed and the gate oxide and gate electrode are formed around the channel region.
    Type: Grant
    Filed: March 9, 2005
    Date of Patent: August 7, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Eun-jung Yun, Sung-min Kim, Sung-young Lee
  • Publication number: 20070178652
    Abstract: A structure and method of reducing junction capacitance of a source/drain region in a transistor. A gate structure is formed over on a first conductive type substrate. We perform a doped depletion region implantation by implanting ions being the second conductive type to the substrate using the gate structure as a mask, to form a doped depletion region beneath and separated from the source/drain regions. The doped depletion regions have an impurity concentration and thickness so that the doped depletion regions are depleted due to a built-in potential creatable between the doped depletion regions and the substrate. The doped depletion region and substrate form depletion regions between the source/drain regions and the doped depletion region. We perform a S/D implant by implanting ions having a second conductivity type into the substrate to form S/D regions. The doped depletion region and depletion regions reduce the capacitance between the source/drain regions and the substrate.
    Type: Application
    Filed: February 14, 2007
    Publication date: August 2, 2007
    Inventors: King Chui, Francis Benistant, Ganesh Samudra, Kian Tee, Yisuo Li, Kum Woh Leong, Kheng Tee
  • Patent number: 7247535
    Abstract: A method for making a transistor within a semiconductor wafer. The method may include etching a recess at source/drain extension locations 90 and depositing SiGe within the recess to form SiGe source/drain extensions 90. Dopants are implanted into the SiGe source/drain extensions 90 and the semiconductor wafer 10 is annealed. Also, a transistor source/drain region 80, 90 having a SiGe source/drain extension 90 that contains evenly distributed dopants, is highly doped, and has highly abrupt edges.
    Type: Grant
    Filed: September 30, 2004
    Date of Patent: July 24, 2007
    Assignee: Texas Instruments Incorporated
    Inventor: Amitabh Jain
  • Patent number: 7226833
    Abstract: Two different transistors types are made on different crystal orientations in which both are formed on SOI. A substrate has an underlying semiconductor layer of one of the crystal orientations and an overlying layer of the other crystal orientation. The underlying layer has a portion exposed on which is epitaxially grown an oxygen-doped semiconductor layer that maintains the crystalline structure of the underlying semiconductor layer. A semiconductor layer is then epitaxially grown on the oxygen-doped semiconductor layer. An oxidation step at elevated temperatures causes the oxide-doped region to separate into oxide and semiconductor regions. The oxide region is then used as an insulation layer in an SOI structure and the overlying semiconductor layer that is left is of the same crystal orientation as the underlying semiconductor layer. Transistors of the different types are formed on the different resulting crystal orientations.
    Type: Grant
    Filed: October 29, 2004
    Date of Patent: June 5, 2007
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Ted R. White, Alexander L. Barr, Bich-Yen Nguyen, Marius K. Orlowski, Mariam G. Sadaka, Voon-Yew Thean
  • Patent number: 7195983
    Abstract: A non-volatile memory (NVM) has a silicon germanium (SiGe) drain and a silicon carbon (SiC) source. The source being SiC provides for a stress on the channel that improves N channel mobility. The SiC also has a larger bandgap than the substrate, which is silicon. This results in it being more difficult to generate electron/hole pairs by impact ionization. Thus, it can be advantageous to use the SiC region for the drain during a read. The SiGe is used as the drain for programming and erase. The SiGe, having a smaller bandgap than the silicon substrate results in improved programming by generating electron/hole pairs by impact ionization and improved erasing by generating electron hole/pairs by band-to-band tunneling, both at lower voltage levels.
    Type: Grant
    Filed: August 31, 2004
    Date of Patent: March 27, 2007
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Gowrishankar L. Chindalore, James David Burnett, Craig T. Swift, Ramachandran Muralidhar
  • Patent number: 7189624
    Abstract: A method of manufacturing a semiconductor device includes forming isolation regions, a gate insulator film and gate electrodes, implanting in the silicon substrate with impurity ions, annealing to recover crystallinity of the implanted silicon substrate without diffusing the impurity ions, depositing an interlayer insulator film on the isolation regions, the silicon substrate, and the gate electrodes, and heating the silicon substrate by irradiating a light having a wavelength that the light is absorbed by the silicon substrate without being absorbed by the interlayer insulator film, activating the impurity ions so as to form source and drain regions.
    Type: Grant
    Filed: June 16, 2004
    Date of Patent: March 13, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Takayuki Ito
  • Patent number: 7119369
    Abstract: A field-effect transistor has a channel region in a bulk semiconductor substrate, a first source/drain region on a first side of the channel region, a second source/drain region on a second side of the channel region, and an extension of epitaxial monocrystalline material formed on the bulk semiconductor substrate so as to extend away from each side of the channel region.
    Type: Grant
    Filed: January 15, 2004
    Date of Patent: October 10, 2006
    Assignee: Micro Technology, Inc.
    Inventors: Zhongze Wang, Chih-Chen Cho, Er-Xuan Ping
  • Patent number: 7118977
    Abstract: According to one embodiment of the present invention, a method of forming a semiconductor device includes forming a gate stack on an outer surface of a semiconductor body. First and second sidewall bodies are formed on opposing sides of the gate stack. A first recess is formed in an outer surface of the gate stack, and a first dopant is implanted into the gate stack after the first recess is formed. The first dopant diffuses inwardly from the outer surface of the gate stack that defines the first recess. The first dopant diffuses toward an interface between the gate stack and the semiconductor body. The first recess increases the concentration of the first dopant at the interface.
    Type: Grant
    Filed: November 11, 2004
    Date of Patent: October 10, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: PR Chidambaram, Srinivasan Chakravarthi
  • Patent number: 7052965
    Abstract: MOSFETs with pocket regions are fabricated. A gate electrode layer is formed on a semiconductor substrate; and lightly doped drain regions are formed in the semiconductor substrate adjacent the gate electrode layer. A blocking pattern is formed on the semiconductor substrate where the gate electrode layer is formed. The blocking pattern is adjacent and spaced apart from the gate electrode layer a predetermined distance and exposes portions of the semiconductor substrate adjacent sidewalls of the gate electrode layer. Pocket regions are formed in the semiconductor substrate by implanting impurity ions using the gate electrode layer and the blocking pattern as an ion implantation mask.
    Type: Grant
    Filed: February 17, 2004
    Date of Patent: May 30, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chang-hyun Park, Young-gun Ko, Chang-bong Oh, Hee-sung Kang, Sang-jin Lee