Principal Metal Being Copper (epo) Patents (Class 257/E23.161)
  • Publication number: 20090121356
    Abstract: The semiconductor device according to the present invention includes a first interlayer dielectric film, a plurality of copper damascene wires embedded in the first interlayer dielectric film at an interval from each other, and a diffusion preventing film stacked on the first interlayer dielectric film for preventing diffusion of copper contained in the copper damascene wires, while an air gap closed with the diffusion preventing film is formed between the copper damascene wires adjacent to each other by partially removing the first interlayer dielectric film from the space between these copper damascene wires.
    Type: Application
    Filed: November 12, 2008
    Publication date: May 14, 2009
    Applicant: ROHM CO., LTD.
    Inventor: Ryosuke NAKAGAWA
  • Patent number: 7531447
    Abstract: An integrated circuit includes copper lines, wherein the crystal structure of the copper has a greater than 30% <001 > crystal orientation and a less than 20% <111> crystal orientation.
    Type: Grant
    Filed: September 6, 2005
    Date of Patent: May 12, 2009
    Assignee: STMicroelectronics SA
    Inventors: Pierre Caubet, Magali Gregoire
  • Publication number: 20090096103
    Abstract: A method for forming a barrier metal layer includes forming a metal compound film composed of a first metal and a second metal on sidewalls of a contact hole, and then selectively etching the metal compound film and then simultaneously forming a barrier metal layer and a first metal seed layer on sidewalls of the contact hole by performing a thermal treatment process on the metal compound film. Accordingly, the process time can be shortened because the sputtering process can be reduced by forming a barrier metal layer and a copper seed layer by reaction between the second metal material and an underlying insulating film by performing the thermal treatment process.
    Type: Application
    Filed: September 2, 2008
    Publication date: April 16, 2009
    Inventor: Kyung-Min Park
  • Publication number: 20090096104
    Abstract: Example embodiments relate to semiconductor devices having a single body crack stop structure configured to reduce or prevent crack propagation and/or moisture penetration. A semiconductor substrate according to example embodiments may include an active region and a crack stop region surrounding the active region. Interlayer insulating layers may be sequentially stacked on the semiconductor substrate. The interlayer insulating layers may include first dual damascene patterns and a first opening. The first dual damascene patterns may be formed in the interlayer insulating layers so as to be perpendicular to the surface of the semiconductor substrate while exposing a first portion of the semiconductor substrate. The first opening may be formed in the crack stop region and may extend through the interlayer insulating layers to expose a second portion of the semiconductor substrate.
    Type: Application
    Filed: June 30, 2008
    Publication date: April 16, 2009
    Inventors: Kyoung-woo Lee, Hong-jae Shin
  • Patent number: 7504724
    Abstract: A semiconductor device comprises: a plurality of first wiring lines formed in a first layer with a first wiring width and a first wiring space; a plurality of second wiring lines formed in a second layer different from the above-described first layer with a second wiring width and a second wiring space larger than the above-described first wiring width and first wiring space; and a contact plug connecting the first wiring line and second wiring line. The above-described contact plug is formed over a plurality of adjacent ones of the above-described first wiring lines and has a pattern connecting the plurality of adjacent ones of the above-described first wiring lines and one of the above-described second wiring lines.
    Type: Grant
    Filed: January 11, 2006
    Date of Patent: March 17, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Takuya Futatsuyama
  • Publication number: 20090057905
    Abstract: A metal interconnection layout for a semiconductor device and a method of manufacturing the semiconductor device are disclosed. The semiconductor device can maintain a minimum design rule and secure a distance between via holes to inhibit a metal bridge phenomenon from being generated. The semiconductor device comprises a substrate, an interlayer dielectric, a first metal interconnection, and a second metal interconnection parallel to the first metal interconnection. The interlayer dielectric can be disposed on the substrate. The first metal interconnection is connected to the substrate or lower interconnect through at least one first via hole in the interlayer dielectric. The second metal interconnection is adjacent to the first metal interconnection and can be connected to the substrate or another lower interconnect through at least one second via hole in the interlayer dielectric.
    Type: Application
    Filed: August 27, 2008
    Publication date: March 5, 2009
    Inventor: Dong Yeal Keum
  • Publication number: 20090035937
    Abstract: A semiconductor interconnect structure having reduced hillock formation and a method for forming the same are provided. The semiconductor interconnect structure includes a conductor formed in a dielectric layer. The conductor includes at least three sub-layers, wherein the ratio of the impurity concentrations in neighboring sub-layers is preferably greater than about two.
    Type: Application
    Filed: August 6, 2008
    Publication date: February 5, 2009
    Inventors: Chung-Hsien Chen, Chun-Chieh Lin, Minghsing Tsai, Shau-Lin Shue
  • Publication number: 20090032952
    Abstract: Tantalum compounds of Formula I hereof are disclosed, having utility as precursors for forming tantalum-containing films such as barrier layers. The tantalum compounds of Formula I may be deposited by CVD or ALD for forming semiconductor device structures including a dielectric layer, a barrier layer on the dielectric layer, and a copper metallization on the barrier layer, wherein the barrier layer includes a Ta-containing layer and sufficient carbon so that the Ta-containing layer is amorphous. According to one embodiment, the semiconductor device structure is fabricated by depositing the Ta-containing barrier layer, via CVD or ALD, from a precursor including the tantalum compound of Formula I hereof at a temperature below about 400° C. in a reducing or inert atmosphere, e.g., a gas or plasma optionally containing a reducing agent.
    Type: Application
    Filed: January 12, 2008
    Publication date: February 5, 2009
    Applicant: ADVANCED TECHNOLOGY MATERIALS, INC.
    Inventors: Tianniu Chen, Chongying Xu, Jeffrey F. Roeder, Thomas H. Baum
  • Publication number: 20090026617
    Abstract: A semiconductor device having a copper line and a method of forming the same so as to prevent a bridge phenomenon between neighboring upper lines are described. The method may include the steps of forming a capping layer and an intermetal dielectric layer in a stacked configuration over a substrate in which lower lines are formed, forming trenches defining an upper metal line region on the intermetal dielectric layer, and forming a spacer on inner sidewalls of the trenches. A via may then be formed under the exposed first trench using a photolithography process and the spacer for alignment. After removing the spacer, a barrier metal film may be formed on inner walls of the trenches and the via, a copper metal line film may be gap-filled within the trenches and the via, and a surface of the semiconductor device may be polished.
    Type: Application
    Filed: July 8, 2008
    Publication date: January 29, 2009
    Applicant: DONGBU HITEK CO., LTD.
    Inventor: Jeong Ho PARK
  • Patent number: 7482693
    Abstract: A method of closely interconnecting integrated circuits contained within a semiconductor wafer to electrical circuits surrounding the semiconductor wafer. Electrical interconnects are held to a minimum in length by making efficient use of polyimide or polymer as an inter-metal dielectric thus enabling the integration of very small integrated circuits within a larger circuit environment at a minimum cost in electrical circuit performance.
    Type: Grant
    Filed: August 21, 2007
    Date of Patent: January 27, 2009
    Inventor: Mou-Shiung Lin
  • Publication number: 20090020875
    Abstract: A semiconductor device is provided. The semiconductor device includes a substrate in which a first interlayer insulation layer having a first via hole and a first trench is formed. The semiconductor device also includes a first via plug and a first metal line respectively formed by filling the first via hole and the first trench with a first metal, a predetermined scratch being formed on the first metal line; and a second via plug a second metal line respectively formed by filling a second via hole and a second trench with a second metal, the second metal lines being separated.
    Type: Application
    Filed: August 27, 2008
    Publication date: January 22, 2009
    Inventor: Min Dae Hong
  • Publication number: 20090014880
    Abstract: Interconnect structures possessing an organosilicate glass interlayer dielectric material with minimal stoichiometeric modification and optionally an intact organic adhesion promoter for use in semiconductor devices are provided herein. The interconnect structure is capable of delivering improved device performance, functionality and reliability owing to the reduced effective dielectric constant of the stack compared with that of those conventionally employed because of the use of a sacrificial polymeric material deposited onto the dielectric and optional organic adhesion promoter during the barrier open step done prior to ashing the patterning material. This sacrificial film protects the dielectric and optional organic adhesion promoter from modification/consumption during the subsequent ashing step during which the polymeric film is removed.
    Type: Application
    Filed: September 24, 2008
    Publication date: January 15, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Timothy J. Dalton, Nicholas C. Fuller, Satyanarayana V. Nitta
  • Publication number: 20090014879
    Abstract: In a method of forming a wiring structure for a semiconductor device, an insulation layer is formed on a semiconductor substrate on which a plurality of conductive structures is positioned. An upper surface of the insulation layer is planarized and spaces between the conductive structures are filled with the insulation layer. The insulation layer is partially removed from the substrate to form at least one opening through which the substrate is partially exposed. A residual metal layer is formed on a bottom and a lower portion of the sidewall of the at least one opening and a metal nitride layer is formed on the residual metal layer and an upper sidewall of the opening with a metal material. Accordingly, an upper portion of the barrier layer can be prevented from being removed in a planarization process for forming the metal plug.
    Type: Application
    Filed: July 10, 2008
    Publication date: January 15, 2009
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Jin-Ho Park, Gil-Heyun Choi, Sang-Woo Lee, Ho-Ki Lee
  • Publication number: 20090001587
    Abstract: Disclosed are a method and a system for processing a semiconductor structure of the type including a substrate, a dielectric layer, and a TaN—Ta liner on the dielectric layer. The method comprises the step of using XeF2 to remove at least a portion of the TaN—Ta liner completely to the dielectric layer. In the preferred embodiments, the present invention uses XeF2 selective gas phase etching as alternatives to Ta—TaN Chemical Mechanical Polishing (CMP) as a basic “liner removal process” and as a “selective cap plating base removal process.” In this first use, XeF2 is used to remove the metal liner, TaN—Ta, after copper CMP. In the second use, the XeF2 etch is used to selectively remove a plating base (TaN—Ta) that was used to form a metal cap layer over the copper conductor.
    Type: Application
    Filed: September 4, 2008
    Publication date: January 1, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: John Michael Cotte, Nils Deneke Hoivik, Christopher Vincent Jahnes, Robert Luke Wisnieff
  • Publication number: 20090001584
    Abstract: A method of fabricating a semiconductor device that may include at least one of the following steps: Forming a lower metal wiring on and/or over a semiconductor substrate. Forming an interlayer insulating film having a damascene hole on and/or over the semiconductor substrate and the lower metal wiring. Forming an anti-diffusion film on and/or over the exposed lower metal wiring below the damascene hole and/or on side surfaces of the damascene hole. Selectively removing the anti-diffusion film formed on and/or over the exposed lower metal wiring at the bottom of the damascene hole using a plasma process that uses an inert gas.
    Type: Application
    Filed: June 20, 2008
    Publication date: January 1, 2009
    Inventor: Sang-Chul Kim
  • Publication number: 20080315321
    Abstract: The present invention discloses a semiconductor source/drain contact structure, which comprises a substrate, a source/drain region disposed in the substrate, at least one non-silicided conductive layer including a barrier layer disposed over and in contact with the source/ drain region, and one or more contact hole filling metals disposed over and in contact with the at least one non-silicided conductive layer, wherein a first contact area between the at least one non-silicided conductive layer and the source/drain region is substantially larger than a second contact area between the one or more contact hole filling metals and the at least one non-silicided conductive layer.
    Type: Application
    Filed: June 21, 2007
    Publication date: December 25, 2008
    Inventors: Chung-Hu Ke, Ching-Ya Wang, When-Chin Lee
  • Patent number: 7466027
    Abstract: Interconnect structures are provided. An exemplary embodiment of an interconnect structure comprises a substrate with a low-k dielectric layer thereon. A via opening and a trench opening are formed in the low-k dielectric layer, wherein the trench opening is formed over the via opening and the via opening exposes a portion of the substrate. A liner layer is formed on sidewalls of the low-k dielectric layer exposed by the trench and via protions and a bottom surface exposed by the trench via portion, wherein the portion of the liner layer on sidewalls of the low-k dielectric layer exposed by the trench and via protions and the portion of the liner layer formed on a bottom surface exposed by the trench portion comprise different materials. A conformal conductive barrier layer is formed in the trench and via openings, covering the liner layer and the exposed portion of the substrate. A conductive layer is formed on the conductive barrier layer, filling in the trench and via openings.
    Type: Grant
    Filed: September 13, 2006
    Date of Patent: December 16, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chung-Chi Ko, Keng-Chu Lin, Chia-Cheng Chou
  • Publication number: 20080296769
    Abstract: A semiconductor device according to an embodiment of the present invention includes a line layer containing Cu (copper), an inter layer dielectric formed on the line layer, a via hole formed in the inter layer dielectric on the line layer, a first barrier layer formed on the line layer in the via hole, a second barrier layer formed on the first barrier layer and on a sidewall of the via hole, and a conductive layer formed on the second barrier layer and containing Al (aluminum).
    Type: Application
    Filed: May 23, 2008
    Publication date: December 4, 2008
    Inventor: Jun HIROTA
  • Publication number: 20080290517
    Abstract: A semiconductor device of the present invention includes an insulating film made of a low dielectric constant material having a smaller specific dielectric constant than SiO2, a wiring trench formed in the insulating film, a first barrier film made of SiO2 or SiCO formed at least on the side surface of the wiring trench, Cu wiring mainly composed of Cu embedded in the wiring trench, and a second barrier film made of a compound containing Si, O and a predetermined metallic element covering the surface of the Cu wiring opposed to the wiring trench.
    Type: Application
    Filed: May 21, 2008
    Publication date: November 27, 2008
    Applicant: ROHM CO., LTD.
    Inventor: Satoshi Kageyama
  • Publication number: 20080284023
    Abstract: A BOAC/COA of a semiconductor device is manufactured by forming a conductive pad over a semiconductor device, forming a passivation oxide film over the semiconductor device including the conductive pad, forming an oxide film over the entire surface of the conductive pad and the passivation oxide film, forming an oxide film pattern defining a bond pad region on the conductive pad, sequentially forming a barrier film and a metal seed layer over the oxide film pattern, the passivation oxide film and the conductive pad, forming a metal layer over the metal seed layer, planarizing the metal layer exposing the oxide film pattern and portions of the barrier film and the metal seed layer, and removing the oxide film pattern by an etching process.
    Type: Application
    Filed: May 15, 2008
    Publication date: November 20, 2008
    Inventor: Sang-Chul Kim
  • Publication number: 20080284032
    Abstract: The present invention extends the above referenced continuation-in-part application by in addition creating high quality electrical components, such as inductors, capacitors or resistors, on a layer of passivation or on the surface of a thick layer of polymer. In addition, the process of the invention provides a method for mounting discrete electrical components at a significant distance removed from the underlying silicon surface.
    Type: Application
    Filed: August 6, 2008
    Publication date: November 20, 2008
    Applicant: MEGICA CORPORATION
    Inventor: Mou-Shiung Lin
  • Publication number: 20080277789
    Abstract: A method for fabricating a single-damascene opening is described. The method includes providing a substrate having a conductive line formed therein. A barrier layer, a dielectric layer, a metal hard mask layer, a silicon oxynitride layer, a bottom antireflection layer and a patterned photoresist layer are sequentially formed on the substrate. The bottom antireflection layer, the silicon oxynitride layer and the metal hard mask layer that are not covered by the patterned photoresist layer are removed in a single process step, until a part of the surface of the dielectric layer is exposed. Thereafter, the patterned photoresist layer and the bottom antireflection layer are removed. Further using the silicon oxynitride layer and the metal hard mask layer as a mask, a portion of the dielectric layer and a portion of the barrier layer are removed to form a damascene opening that exposes the surface of the conductive line.
    Type: Application
    Filed: June 3, 2008
    Publication date: November 13, 2008
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Ming-Hsing Liu, Chia-Hsiun Yu
  • Patent number: 7443032
    Abstract: A titanium layer is formed on a substrate with chemical vapor deposition (CVD). First, a seed layer is formed on the substrate by combining a first precursor with a reducing agent by CVD. Then, the titanium layer is formed on the substrate by combining a second precursor with the seed layer by CVD. The titanium layer is used to form contacts to active areas of substrate and for the formation of interlevel vias.
    Type: Grant
    Filed: June 7, 2005
    Date of Patent: October 28, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej Singh Sandhu, Donald L. Westmoreland
  • Publication number: 20080251928
    Abstract: An integrated circuit structure includes a semiconductor substrate; a dielectric layer over the semiconductor substrate; a conductive wiring in the dielectric layer; and a metal carbide cap layer over the conductive wiring.
    Type: Application
    Filed: April 11, 2007
    Publication date: October 16, 2008
    Inventors: Hui-Lin Chang, Ting-Yu Shen, Yung-Cheng Lu
  • Publication number: 20080224313
    Abstract: A method for forming a seed layer for damascene copper wiring is provided. The method comprises the step of forming a seed layer, during damascene copper wiring formation, using an electroless plating solution comprising a water-soluble nitrogen-containing polymer and glyoxylic acid as a reducing agent, wherein the weight-average molecular weight (Mw) of the water-soluble nitrogen-containing polymer is 1,000 to less than 100,000. Preferably, the electroless plating solution further comprises phosphinic acid.
    Type: Application
    Filed: March 13, 2008
    Publication date: September 18, 2008
    Inventors: Atsushi Yabe, Junnosuke Sekiguchi, Toru Imori
  • Patent number: 7423347
    Abstract: A semiconductor interconnect structure having reduced hillock formation and a method for forming the same are provided. The semiconductor interconnect structure includes a conductor formed in a dielectric layer. The conductor includes at least three sub-layers, wherein the ratio of the impurity concentrations in neighboring sub-layers is preferably greater than about two.
    Type: Grant
    Filed: January 19, 2006
    Date of Patent: September 9, 2008
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chung-Hsien Chen, Chun-Chieh Lin, Minghsing Tsai, Shau-Lin Shue
  • Patent number: 7422977
    Abstract: A semiconductor device, in which a semiconductor integrated circuit having a multi-level interconnection structure is formed, according to an embodiment of the present invention, comprises a copper wiring and an insulating layer formed on a top surface of the copper wiring, wherein the copper wiring includes an additive for improving adhesion between the copper wiring and the insulating layer, and a profile of the additive has a gradient in which a concentration is gradually reduced as it goes from the top surface of the copper wiring toward the inside thereof, and has the highest concentration on the top surface of the copper wiring.
    Type: Grant
    Filed: April 1, 2005
    Date of Patent: September 9, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kazuyuki Higashi, Masaki Yamada, Noriaki Matsunaga
  • Publication number: 20080211098
    Abstract: A semiconductor device in which the resistance of a copper wiring to electromigration is increased. The copper wiring is formed so that copper grains will be comparatively large in a central portion of the copper wiring and so that copper grains will be comparatively small in an upper portion and a lower portion of the metal wiring. The copper wiring having this structure is formed by a damascene method. This structure can be formed by controlling electric current density at electroplating time. With the copper wiring having this structure, it is easier for an electric current to run through the central portion than to run through the upper portion. As a result, the diffusion of copper atoms in the upper portion is suppressed and therefore the diffusion of copper atoms from an interface between the copper wiring and a cap film is suppressed.
    Type: Application
    Filed: January 24, 2008
    Publication date: September 4, 2008
    Applicant: FUJITSU LIMITED
    Inventors: Takashi SUZUKI, Hideki KITADA
  • Publication number: 20080203572
    Abstract: The present invention provides a semiconductor device having interconnects, reduced in leakage current between the interconnects and improved in the TDDB characteristic, which comprises an insulating interlayer 108, and interconnects 160 filled in grooves formed in the insulating interlayer, comprising a copper layer 124 mainly composed of copper, having the thickness smaller than the depth of the grooves, and a low-expansion metal layer 140, which is a metal layer having a heat expansion coefficient smaller than that of the copper layer, formed on the copper layer.
    Type: Application
    Filed: April 29, 2008
    Publication date: August 28, 2008
    Applicant: NEC ELECTRONICS CORPORATION
    Inventors: Tetsuya KUROKAWA, Koji Arita
  • Patent number: 7413983
    Abstract: The present invention provides a plating method and a plating apparatus which can securely form a metal film (protective film) by electroless plating on the exposed surfaces of a base metal, such as interconnects without the formation of voids in the base metal. The plating method including providing a semiconductor device having an embedded interconnect structure, carrying out pretreatment of interconnects with a pre-treatment liquid containing a surface activating agent for the interconnects, carrying out catalytic treatment of the interconnects with a catalytic treatment liquid containing catalyst metal ions and an excessive etching inhibitor for the interconnects, and forming a protective film by electroless plating selectively on the surfaces of the interconnects.
    Type: Grant
    Filed: June 10, 2004
    Date of Patent: August 19, 2008
    Assignee: Ebara Corporation
    Inventors: Hiroaki Inoue, Akira Susaki
  • Publication number: 20080179742
    Abstract: The present disclosure relates to methods and solutions for growing metal charge-transfer salts on a metal surface, such as a metal layer at the bottom of a via hole. The method makes use of a solution comprising a salt additive. The temperature during growth is in the range of ?100° C. to +100° C. The method allows controlled growth of the metal charge transfer salt inside via hole while limiting growth outside the via hole. The method further limits corrosion of the metallic connections at the bottom of the via hole.
    Type: Application
    Filed: July 24, 2007
    Publication date: July 31, 2008
    Applicant: INTERUNIVERSITAIR MICROELEKTRONICA CENTRUM (IMEC)
    Inventors: Robert Muller, Jan Genoe
  • Patent number: 7402519
    Abstract: Methods of fabricating a capped interconnect for a microelectronic device which includes a sealing feature for any gaps between a capping layer and an interconnect and structures formed therefrom. The sealing features improve encapsulation of the interconnect, which substantially reduces or prevents electromigration and/or diffusion of conductive material from the capped interconnect.
    Type: Grant
    Filed: June 3, 2005
    Date of Patent: July 22, 2008
    Assignee: Intel Corporation
    Inventors: Jun He, Kevin J. Fischer, Ying Zhou, Peter K. Moon
  • Patent number: 7402883
    Abstract: A back end of the line (BEOL) structure of a semiconductor device is presented. In one embodiment, the structure may include a first liner layer disposed on an intermediate interconnect structure, the intermediate interconnect structure having an opening disposed between two surfaces of a dielectric material, wherein the first liner layer is in direct contact with at least a portion of a conductive wiring material of an underneath interconnect layer; a noble metal layer disposed on the first liner layer at least in the opening; and a conductive wiring material disposed on the noble metal layer, the conductive wiring material substantially filling the opening; wherein the first liner layer, the noble metal layer and the conductive wiring material are coplanar with the two surfaces of the dielectric material of the intermediate interconnect structure, and the noble metal layer includes a different material than the first liner layer.
    Type: Grant
    Filed: April 25, 2006
    Date of Patent: July 22, 2008
    Assignee: International Business Machines Corporation, Inc.
    Inventors: Chih-Chao Yang, Shyng-Tsong Chen, Shom Ponoth, Terry A. Spooner
  • Patent number: 7396756
    Abstract: A method of closely interconnecting integrated circuits contained within a semiconductor wafer to electrical circuits surrounding the semiconductor wafer. Electrical interconnects are held to a minimum in length by making efficient use of polyimide or polymer as an inter-metal dielectric thus enabling the integration of very small integrated circuits within a larger circuit environment at a minimum cost in electrical circuit performance.
    Type: Grant
    Filed: August 27, 2007
    Date of Patent: July 8, 2008
    Inventor: Mou-Shiung Lin
  • Patent number: 7393782
    Abstract: Structures for signal distribution are produced by applying a metallic seed layer over a semiconductor body. An insulating layer is applied over the metallic seed layer and openings in the insulating layer are produced by photolithographic patterning of the insulating layer. Each opening in the insulating layer is trapezoidal in cross section such that an upper portion of the insulating layer is wider than a lower portion of the insulating layer. A conductor is selectively formed over exposed portions of the metallic seed layer. After selectively forming the conductor, the insulating layer is anisotropically etched such that portions of the insulating layer abutting sidewalls of the conductor remain. Alternatively, a second insulating layer can be formed and anisotropically etched.
    Type: Grant
    Filed: February 4, 2005
    Date of Patent: July 1, 2008
    Assignee: Infineon Technologies AG
    Inventors: Axel Brintzinger, Octavio Trovarelli, Wolfgang Leiberg
  • Publication number: 20080122103
    Abstract: An interconnect in provided which comprises a copper conductor having both a top surface and a lower surface, with caps formed on the top surface of the metallic conductor. The cap is formed of dual laminations or multiple laminations of films with the laminated films including an Ultra-Violet (UV) blocking film and a diffusion barrier film. The diffusion barrier film and the UV blocking film may be separated by an intermediate film.
    Type: Application
    Filed: November 29, 2006
    Publication date: May 29, 2008
    Applicant: International Business Machines Corporation
    Inventors: Griselda Bonilla, Christos D. Dimitrakopoulos, Son V. Nguyen, Alfred Grill, Satyanarayana V. Nitta, Darryl D. Restaino, Terry A. Spooner
  • Publication number: 20080116576
    Abstract: Semiconductor devices and methods of manufacture thereof are disclosed. A preferred embodiment comprises a method of forming a barrier layer. The method of forming the barrier layer includes providing a workpiece, forming a first material layer over the workpiece, the first material layer comprising a nitride-based metal compound. A second material layer is formed over the first material layer. The second material layer comprises Ta or Ti. The barrier layer comprises the first material layer and at least the second material layer.
    Type: Application
    Filed: November 21, 2006
    Publication date: May 22, 2008
    Inventors: Bum Ki Moon, Danny Pak-Chum Shum, Moosung Chae
  • Patent number: 7368376
    Abstract: A method of closely interconnecting integrated circuits contained within a semiconductor wafer to electrical circuits surrounding the semiconductor wafer. Electrical interconnects are held to a minimum in length by making efficient use of polyimide or polymer as an inter-metal dielectric thus enabling the integration of very small integrated circuits within a larger circuit environment at a minimum cost in electrical circuit performance.
    Type: Grant
    Filed: September 19, 2005
    Date of Patent: May 6, 2008
    Inventor: Mou-Shiung Lin
  • Patent number: 7341945
    Abstract: A method of fabricating a semiconductor device prevents agglomeration of a seed metal layer in a recess. A recess is formed in a dielectric layer formed on or over a wafer. A seed metal layer (e.g., Cu or Cu alloy) is then formed on a bottom face and an inner side face of the recess. Subsequently, a surface of the seed metal layer is oxidized by exposing the surface of the seed metal layer to an oxygen-containing gas or the atmospheric air before agglomeration of the seed metal layer occurs, thereby forming an oxide layer in the surface of the seed metal layer. A filling metal (e.g., Cu or Cu alloy) is plated on the oxide layer of the seed metal layer while using the seed metal layer whose surface is oxidized as an electrode, thereby filling the recess with the metal.
    Type: Grant
    Filed: February 25, 2003
    Date of Patent: March 11, 2008
    Assignee: NEC Electronics Corporation
    Inventor: Yoshiaki Yamamoto
  • Patent number: 7332813
    Abstract: A semiconductor device with a metallic region can have a resistance to stress migration and increased reliability. A lower layer wiring made from a barrier metal film (102) and a copper containing metallic film (103) can be formed within an insulating film (101). An interlayer insulating film (104 or 104a and 104b) can be formed thereon. An upper layer wiring made from a barrier metal film (106 or 106a and 106b) and a copper containing metallic film (111 or 111a and 111b) is formed within the interlayer insulating film (104 or 104a and 104b). A silver containing metallic protective film (108a and 108b) can be formed on surfaces of the lower layer wiring and upper layer wiring.
    Type: Grant
    Filed: June 30, 2003
    Date of Patent: February 19, 2008
    Assignee: NEC Electronics Corporation
    Inventor: Kazuyoshi Ueno
  • Publication number: 20080036090
    Abstract: Provided, is a reliable semiconductor device with a layered interconnect structure that may develop no trouble of voids and interconnect breakdowns, in which the layered interconnect structure comprises a conductor film and a neighboring film as so layered on a semiconductor substrate that the neighboring film is contacted with the conductor film.
    Type: Application
    Filed: August 6, 2007
    Publication date: February 14, 2008
    Inventors: Tomio Iwasaki, Hideo Miura
  • Patent number: 7329952
    Abstract: The semiconductor device comprises a copper interconnection 26b buried in an insulating film 16, and a dummy pattern for chemical mechanical polishing buried in the insulating film 16 near the copper interconnection 26b. The unit patterns 26c of the dummy pattern are formed in the density of 10-25%. Even in the case that the electrolytic plating solution for bottom up growth mechanism is used, the step on the surface of a copper film due to over-plating can be decreased, and the total plating thickness necessary to fill the interconnection trenches can be decreased.
    Type: Grant
    Filed: August 19, 2004
    Date of Patent: February 12, 2008
    Assignee: Fujitsu Limited
    Inventors: Hideki Kitada, Noriyoshi Shimizu
  • Publication number: 20080029894
    Abstract: The present invention provides a flip-chip package substrate and a method for fabricating a flip-chip package substrate comprising a circuit build-up structure, which comprises at least a dielectric layer and at least a circuit layer, wherein each dielectric layer comprises a first surface and a second surface, plural vias are formed in the first surface, the circuit layer is formed on the first surface and in the vias to electrically connect to another circuit layer disposed under the dielectric layer; a metal layer embedded in the exposed second surface of the circuit build-up structure without protruding the exposed second surface and connected to the circuit layer; and two solder masks disposed on the exposed first surface and the exposed second surface of the circuit build-up structure, wherein the solder masks have plural openings to separately expose part of the circuit layer and the metal layer functioning as conductive pads.
    Type: Application
    Filed: June 6, 2007
    Publication date: February 7, 2008
    Applicant: Phoenix Precision Technology Corporation
    Inventor: Hsien-Shou Wang
  • Publication number: 20070252281
    Abstract: In the present invention, copper interconnection with metal caps is extended to the post-passivation interconnection process. Metal caps may be aluminum. A gold pad may be formed on the metal caps to allow wire bonding and testing applications. Various post-passivation passive components may be formed on the integrated circuit and connected via the metal caps.
    Type: Application
    Filed: May 31, 2007
    Publication date: November 1, 2007
    Applicant: MEGICA CORPORATION
    Inventors: Mou-Shiung Lin, Michael Chen, Chien Chou, Mark Chou
  • Patent number: 7276441
    Abstract: Embodiments of the invention include a copper interconnect structure having increased electromigration lifetime. Such structures can include a semiconductor substrate having a copper layer formed thereon. A dielectric barrier stack is formed on the copper layer. The dielectric barrier stack includes a first portion formed adjacent to the copper layer and a second portion formed on the first portion, the first portion having improved adhesion to copper relative to the second portion and both portions are formed having resistance to copper diffusion. The invention also includes several embodiments for constructing such structures. Adhesion of the dielectric barrier stack to copper can be increased by plasma treating or ion implanting selected portions of the dielectric barrier stack with adhesion enhancing materials to increase the concentration of such materials in the stack.
    Type: Grant
    Filed: April 15, 2003
    Date of Patent: October 2, 2007
    Assignee: LSI Logic Corporation
    Inventors: Hao Cui, Peter A. Burke, Wilbur G. Catabay
  • Patent number: 7205663
    Abstract: Methods and solutions for forming self assembled organic monolayers that are covalently bound to metal interfaces are presented along with a device containing a self assembled organic monolayer. Embodiments of the present invention utilize self assembled thiolate monolayers to prevent the electromigration and surface diffusion of copper atoms while minimizing the resistance of the interconnect lines. Self assembled thiolate monolayers are used to cap the copper interconnect lines and chemically hold the copper atoms at the top of the lines in place, thus preventing surface diffusion. The use of self assembled thiolate monolayers minimizes the resistance of copper interconnect lines because only a single monolayer of approximately 10 ? and 20 ? in thickness is used.
    Type: Grant
    Filed: November 23, 2004
    Date of Patent: April 17, 2007
    Assignee: Intel Corporation
    Inventor: David H. Gracias
  • Patent number: 7205667
    Abstract: A first interlayer insulating film made of insulting material is formed over an underlying substrate. A via hole is formed through the first interlayer insulating film. A conductive plug made of copper or alloy mainly consisting of copper is filled in the via hole. A second interlayer insulating film made of insulating material is formed over the first interlayer insulating film. A wiring groove is formed in the second interlayer insulating film, passing over the conductive plug and exposing the upper surface of the conductive plug. A wiring made of copper or alloy mainly consisting of copper is filled in the wiring groove. The total atom concentration of carbon, oxygen, nitrogen, sulfur and chlorine in the conductive plug is lower than the total atom concentration of carbon, oxygen, nitrogen, sulfur and chlorine in the wiring.
    Type: Grant
    Filed: November 23, 2004
    Date of Patent: April 17, 2007
    Assignee: Fujitsu Limited
    Inventors: Yumiko Koura, Hideki Kitada
  • Patent number: 7180191
    Abstract: A semiconductor device 200 comprises a SiCN film 202 formed on a semiconductor substrate (not shown), a first SiOC film 204 formed thereon, a SiCN film 208 formed thereon, a second SiOC film 210 formed thereon, a SiO2 film 212 and a SiCN film 214 formed thereon. The first SiOC film 204 has a barrier metal layer 216 and via 218 formed therein, and the second SiOC film 210 has a barrier metal layer 220 and wiring metal layer 222 formed therein. Carbon content of the second SiOC film 210 is adjusted larger than that of the first SiOC film 204. This makes it possible to improve adhesiveness of the insulating interlayer with other insulating layers, while keeping a low dielectric constant of the insulating interlayer.
    Type: Grant
    Filed: February 3, 2005
    Date of Patent: February 20, 2007
    Assignee: NEC Electronics Corporation
    Inventors: Yoichi Sasaki, Koichi Ohto, Noboru Morita, Tatsuya Usami, Hidenobu Miyamoto
  • Patent number: 7176577
    Abstract: A semiconductor device is made up of a first insulating layer having a through hole; a first interconnection which comprises a first conductive layer, a first barrier layer, and a first main interconnection, and a second interconnection connected to one of the fist conductive layer and the first barrier layer. Accordingly, the semiconductor device can avoid a problem so that the Cu of the first main interconnection transfers from a portion connected to the second interconnection due to cause electromigration, the connected portion becomes a void, and the first interconnection is disconnected to the second interconnection.
    Type: Grant
    Filed: December 14, 2004
    Date of Patent: February 13, 2007
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Yusuke Harada
  • Patent number: 7122878
    Abstract: A new method is provided for the creation of a high-reliability metal capacitor as part of back-end processing. A first layer of metal interconnect is created, ac contact point is provided in the surface of the first layer of interconnect aligned with which a capacitor is to be created. A copper interconnect is formed overlying the contact point using TaN for the bottom plate, a high dielectric-constant dielectric material capacitor and using TaN for the top plate. The deposited layers are patterned and etched, a spacer layer is formed over sidewalls of the capacitor to prevent capacitor sidewall leakage. Top interconnect metal is then formed by first depositing a layer of etch stop material for further interconnection of the capacitor and the semiconductor devices provided in the underlying substrate.
    Type: Grant
    Filed: June 2, 2005
    Date of Patent: October 17, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chi-Feng Huang, Chun-Hon Chen, Shy Chy Wong, Chih Hsien Lin