Apparatus For Charging A Load Holding Or Supporting Element From A Source, And Means For Transporting And Presenting Element To A Working, Treating, Or Inspecting Station Patents (Class 414/222.01)
  • Publication number: 20110142576
    Abstract: Laundry articles (20) are spread out by means of what are known as input machines in front of a feed conveyor (27) which feeds the spread-out laundry article (20) to a mangle. The respective laundry article (20) is previously fed by a transfer device (23) to a draw-on device (24) onto which the laundry article (20) is drawn. In known input machines of this type, relatively complicated transfer devices (23) are provided. According to the invention, the transfer device (23) is provided with a rectilinear conveying section ascending to the draw-on device (24). The laundry article (20) is thereby transported to the draw-on device (24) by the transfer device (23) in the plane in which it is transferred to the transfer device (23). Such a transfer device (23) needs to have only a simple set-up.
    Type: Application
    Filed: December 7, 2010
    Publication date: June 16, 2011
    Applicant: Herbert Kannegiesser GmbH
    Inventors: Lars Herzog, Jürgen Sielermann, Friedhelm Mehrhoff, Engelbert Heinz
  • Publication number: 20110135428
    Abstract: Provided is a substrate-processing apparatus including a plurality of process chambers, a buffer unit, and a transfer member. The transfer member transfers the substrate between the process chambers and the buffer unit, and collects the substrates processed in at least two of the process chambers where processes are simultaneously finished, to transfer the processed substrates to the buffer unit at once. Accordingly, a number of times during which the transfer member transfers substrates is decreased, so that the substrate-processing apparatus reduces the process time and improves the productivity.
    Type: Application
    Filed: April 9, 2009
    Publication date: June 9, 2011
    Applicant: SEMES CO., LTD.
    Inventors: Kyung Mo Kim, Sang-Seok Hong
  • Publication number: 20110124096
    Abstract: The present invention is directed to a method and container locator means for moving a container among one or more work-flow stations within an apparatus. The apparatus of the present invention may include a means for automated loading, a means for automated transfer and/or a means for automated unloading of a container (e.g., a specimen container). In one embodiment, the apparatus can be an automated detection apparatus for rapid non-invasive detection of a microbial agent in a test sample. The detection system also including a heated enclosure, a holding means or rack, and/or a detection unit for monitoring and/or interrogating the specimen container to detect whether the container is positive for the presence of a microbial agent. In other embodiment, the automated instrument may include one or more, bar code readers, scanners, cameras, and/or weighing stations to aid in scanning, reading, imaging and weighing of specimen containers within the system.
    Type: Application
    Filed: May 14, 2010
    Publication date: May 26, 2011
    Applicant: BIOMERIEUX, INC.
    Inventors: Stanley Michael Philipak, Mark Joseph Fanning, Mike Ammerman, Ron Bergold, Andrew Scherer, Anthony Valentino
  • Publication number: 20110108742
    Abstract: A system for loading workpieces into a process chamber for processing in a matrix configuration includes a conveyor configured to transport multiple workpieces in a linear fashion. A workpiece hotel is configured to receive the multiple workpieces from the conveyor. The workpiece hotel comprises a matrix of cells arranged in N columns and M floors. A pick blade is configured to insert into the hotel and retract from the hotel in order to unload a plurality of substrates from a first floor into a single row of the pick blade, and to repeat the unloading operation to form a matrix comprising a plurality of rows of substrates disposed on the pick blade. In one example, the workpiece hotel has a staggered configuration that provides individual accessibility of each hotel cell.
    Type: Application
    Filed: November 8, 2010
    Publication date: May 12, 2011
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventors: William T. Weaver, Jaime A. Carrera, Robert B. Vopat, Aaron Webb, Charles T. Carlson
  • Patent number: 7940023
    Abstract: A geometric end effector system for use on a robot. The system includes a platform and a frame secured to the platform. At least one base is arranged at a predetermined position on the frame. The system also has an anchor mount secured to the base and a component connected to an end of the anchor mount by a collar assembly. A key is arranged between the component and the anchor mount.
    Type: Grant
    Filed: September 23, 2009
    Date of Patent: May 10, 2011
    Assignee: Delaware Capital Formation, Inc.
    Inventors: Jason M. Kniss, Michael J. Goff, Jerry Berendt, Ron Micallef
  • Publication number: 20110104659
    Abstract: A device including a sample compartment, a coil and an arm for mechanical manipulation of a sample vessel placed in the sample compartment and containing a sample is described. In at least one embodiment, the coil is surrounding the sample compartment and the sample compartment has an opening for insertion and removal of the sample vessel. A method, using the device according to at least one embodiment of the invention for detection of magnetic permeability, relative magnetic permeability or relative magnetic susceptibility, is also described.
    Type: Application
    Filed: October 24, 2008
    Publication date: May 5, 2011
    Inventor: Dario Kriz
  • Publication number: 20110106300
    Abstract: A system for managing semiconductor production includes a conveyor to convey a wafer carrier to or from an overhead hoist transfer system. The system also includes a cross-system transport apparatus to transfer the wafer carrier between the conveyor and an overhead shuttle system.
    Type: Application
    Filed: April 21, 2010
    Publication date: May 5, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Wei-Cheng Wang, Feng-Ning Lee
  • Publication number: 20110103923
    Abstract: Manufacturing cost or energy consumption of a substrate processing apparatus can be reduced. The substrate processing apparatus includes a substrate loading/unloading unit (a substrate transit chamber 12) that transfers a substrate 2 accommodated in a carrier 3; a substrate transfer chamber 14 (25) communicating with the substrate loading/unloading unit via a substrate loading/unloading port 37 (39); a plurality of substrate processing chambers 15 to 24 (26 to 35) arranged along the substrate transfer chamber 14 (25); a substrate transfer device 36 (38) provided in the substrate transfer chamber 14 (25) and configured to transfer the substrate 2 between the substrate loading/unloading unit and the substrate processing chambers 15 to 24 (26 to 35); and a clean air flowing unit 41 (42) that allows clean air to flow along the substrate transfer chamber 14 (25).
    Type: Application
    Filed: November 2, 2010
    Publication date: May 5, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Junya Minamida, Issei Ueda
  • Publication number: 20110085878
    Abstract: A system for feeding glass sheets to a processing line for processing the sheets has a sheet pickup station; a number of movable carriages which are moved into the pickup station and each support one or more packs of sheets positioned substantially on edge; a movable loading machine for removing the sheets off the carriages and transferring them to the processing line; a store for housing the carriages with respective packs of sheets; and a supply station for restocking the store and which is separate from the pickup station.
    Type: Application
    Filed: October 6, 2010
    Publication date: April 14, 2011
    Inventors: Mario Balbi, Enrico Boggero
  • Publication number: 20110076117
    Abstract: A disclosed process module includes a substrate receiving part on which a substrate is placed and a process is carried out with respect to the substrate on the substrate receiving part; and a substrate transfer mechanism including plural substrate holding members, each of which can be positioned in a first position where the substrate is transferred to/from a substrate transfer apparatus provided outside the process module and a second position above the substrate receiving part, wherein each of the substrate holding members can hold the substrate.
    Type: Application
    Filed: September 15, 2010
    Publication date: March 31, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Yoji IIZUKA
  • Patent number: 7914246
    Abstract: A system adapted to exchange wafer carriers between an overhead transport mechanism and a platform is provided. The system employs a wafer carrier having at least one handle extending therefrom, an overhead transfer mechanism, a transporter coupled to the overhead transfer mechanism and adapted to move therealong and having a wafer carrier coupling mechanism adapted to couple to the at least one wafer carrier handle, a platform positioned below the overhead transfer mechanism such that wafer carriers traveling along the overhead transfer mechanism travel over the platform, and an actuator coupled to the platform and adapted so as to elevate the platform to an elevation wherein the loading platform may contact the bottom of a wafer carrier coupled to the overhead transfer mechanism.
    Type: Grant
    Filed: June 15, 2009
    Date of Patent: March 29, 2011
    Assignee: Applied Materials, Inc.
    Inventor: Robert Z. Bachrach
  • Publication number: 20110064545
    Abstract: Embodiments of the present invention provide apparatus and method for heating one or more substrates during transfer. One embodiment provides a robot blade assembly for supporting a substrate or a substrate carrier thereon. The robot blade assembly comprises a base plate, an induction heating assembly disposed on the base plate, and a top plate disposed above the induction heating assembly. Another embodiment provides an induction heating assembly disposed over a transfer chamber having a substrate transfer mechanism disposed therein.
    Type: Application
    Filed: September 15, 2010
    Publication date: March 17, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: TETSUYA ISHIKAWA, Lily L. Pang, Quyen D. Pham, Donald J.K. Olgado
  • Publication number: 20110056172
    Abstract: A method and an apparatus for producing plastic bottles, including a blow molding machine, a labeler, at least one further treatment machine, and transfer starwheels provided and arranged for the machine-to-machine transportation of the bottles in such a way that the bottles are transported without buffers between the at least three machines.
    Type: Application
    Filed: September 3, 2010
    Publication date: March 10, 2011
    Applicant: KRONES AG
    Inventors: Christoph Klenk, Wolfgang Hausladen
  • Publication number: 20110058919
    Abstract: The present invention relates to a seal carrier plate and a system of interchangeable chambers. A seal carrier plate is provided, wherein the seal carrier plate is adapted for being located between a first chamber and a second chamber and adapted for sealing the first chamber with respect to the second chamber from the environment, and wherein the seal carrier plate comprises an opening for transferring of substrates from the first chamber to the second chamber. Further, a system of interchangeable chambers is provided, wherein the chambers are adapted for accommodating a seal carrier plate on at least one side of the chamber with an opening.
    Type: Application
    Filed: September 15, 2009
    Publication date: March 10, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Michael SCHAEFER, Andreas GEISS
  • Publication number: 20110060449
    Abstract: A method for transporting inventory items includes moving a mobile drive unit to a first point within a workspace. The first point is a location of an inventory holder. The method further includes docking the mobile drive unit with the inventory holder and moving the mobile drive unit and the inventory holder to a second point within the workspace. The second point is associated with conveyance equipment. The method further includes moving the inventory holder to a third point within the workspace using the conveyance equipment.
    Type: Application
    Filed: November 10, 2010
    Publication date: March 10, 2011
    Applicant: Kiva Systems, Inc.
    Inventors: Peter R. Wurman, Raffaello D'Andrea, Michael T. Barbehenn, Andrew E. Hoffman, Michael C. Mountz
  • Publication number: 20110048319
    Abstract: A substrate transfer processing apparatus capable of processing a substrate at high speed is provided. A mounting table on which a substrate is mounted includes a plate-shaped main body and a recessed part formed in a rear surface of the plate-shaped main body. Since the mounting table is lightweight as compared to the mounting table before the recessed part is formed therein, the load on a motor is small and the running cost is low even when the mounting table is moved at high speed. Because the plate-shaped main body is made of granite, the mounting surface can be made flat and smooth by polishing. Since the mounting surface is flat and smooth, the accuracy in positioning the substrate is high.
    Type: Application
    Filed: September 8, 2010
    Publication date: March 3, 2011
    Applicant: ULVAC, INC.
    Inventors: Yuya Inoue, Tamotsu Tanifuji, Hisato Tanaka, Makoto Takahashi, Kuniyoshi Sekine
  • Patent number: 7896563
    Abstract: A photo spinner apparatus, including a spin coater for coating a plurality of wafers with photoresist, a bake device for hardening the photoresist coated by the spin coater, a developer for developing the photoresist hardened in the bake device, a transfer unit for transferring the plurality of wafers between the developer, the bake device, and the spin coater, and an indexer including a wafer carrier loader to vertically stack a plurality of wafer carriers into which the plurality of wafers transferred by the transfer unit are loaded.
    Type: Grant
    Filed: January 7, 2009
    Date of Patent: March 1, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Yong-Su Kim
  • Publication number: 20110041764
    Abstract: A batch processing platform used for ALD or CVD processing is configured for high throughput and minimal footprint. In one embodiment, the processing platform comprises an atmospheric transfer region, at least one batch processing chamber with a buffer chamber and staging platform, and a transfer robot disposed in the transfer region wherein the transfer robot has at least one substrate transfer arm that comprises multiple substrate handling blades. The platform may include two batch processing chambers configured with a service aisle disposed therebetween to provide necessary service access to the transfer robot and the deposition stations. In another embodiment, the processing platform comprises at least one batch processing chamber, a substrate transfer robot that is adapted to transfer substrates between a FOUP and a processing cassette, and a cassette transfer region containing a cassette handler robot. The cassette handler robot may be a linear actuator or a rotary table.
    Type: Application
    Filed: November 3, 2010
    Publication date: February 24, 2011
    Inventors: Aaron Webb, Adam Brailove, Joseph Yudovsky, Nir Merry, Andrew Constant, Efrain Quiles, Michael R. Rice, Gary J. Rosen, Vinay K. Shah
  • Publication number: 20110045115
    Abstract: An apparatus for transferring objects includes a receiving arrangement, a transferring arrangement for transferring an object towards the receiving arrangement, the transferring arrangement having a recess for receiving the object, the recess being defined by a wall arrangement, and a driving arrangement arranged for moving at least part of the wall arrangement so as to change a passage section of the object through the recess.
    Type: Application
    Filed: July 7, 2008
    Publication date: February 24, 2011
    Inventors: Zeno Zuffa, Giambattista Cavina, Fiorenzo Parrinello
  • Publication number: 20110038694
    Abstract: A die sorter for sorting a semiconductor dice is provided. The die sorter comprising of a rotary turret module (19) with indexing mechanism for die sorting; the rotary turret module (19) is further coupled with a motor for generating rotating movements. A plurality of flipper modules (20) with rotary actuating means (72) is coupled with the rotary turret module (19) for enabling the flipping process of the flipper module (20). In one embodiment, a plurality of shutter openers (55) are operatively coupled to the flipper module (20) to move the cavity shuttle (93) to open position for pick and place operation of die. In one preferred embodiment, a plurality of cavity releasing means (57) are operatively coupled to the flipper module (20) to unlock the cavity holder (74) prior to activate the flipping mechanism, whereby the cavity releasing means (57) is a cam mechanism which is mounted on the rotary turret module (19).
    Type: Application
    Filed: April 10, 2009
    Publication date: February 17, 2011
    Inventor: Hun Sniah Khoo
  • Publication number: 20110020096
    Abstract: Methods and apparatuses for a batch processing system with in-line interfaces are provided to batch processing substrates in an in-line processing facility. In an embodiment, the batch processing system comprises carrier assembling and carrier disassembling stations interfacing the in-line path and the batch processing stations.
    Type: Application
    Filed: July 26, 2010
    Publication date: January 27, 2011
    Applicant: LOTUS SYSTEMS GMBH
    Inventor: Joachim Mink
  • Patent number: 7874261
    Abstract: A stage apparatus includes a stage over which a substrate is to be transferred, and a levitation mechanism which levitates the substrate over the stage. The stage includes a plurality of gas spray ports (16a) to spray a gas for levitating the substrate (G), and a plurality of suction ports (16b) to take in air sprayed from the gas spray ports (16a). The plurality of gas spray ports (16a) and the plurality of suction ports (16b) are set not to be arranged on straight lines parallel to a substrate transfer direction in a predetermined length along the substrate transfer direction.
    Type: Grant
    Filed: February 15, 2006
    Date of Patent: January 25, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Tsuyoshi Yamasaki, Masataka Sakai
  • Patent number: 7862284
    Abstract: A pallet transfer system capable of smoothly transferring a pallet between a machine tool and a pallet conveying device is provided. The pallet transfer system includes an intermediated station to temporally place a pallet between a front ward position of a pallet stand-by position and the pallet stand by position. The pallet on a conveying plate at a stand-by position is carried to a temporary placing position by horizontally moving the conveying plate. Then the pallet is transferred to the intermediate position by being supported by lift arms which are raised from the conveying plate. Further, the pallet, supported by the lift arms, is transferred from the intermediate station to a stacker crane by advancing the stacker crane toward the intermediate station side and entered into an entering space below the pallet.
    Type: Grant
    Filed: April 17, 2008
    Date of Patent: January 4, 2011
    Assignee: Okuma Corporation
    Inventor: Masayuki Kiriyama
  • Publication number: 20100329829
    Abstract: A feed and removal system for a machine tool has a supply device and a removal device for workpieces. Arranged at the front side of the machine tool are loading arms and unloading arms, which are pivotable about vertical pivot axes and, at their free ends, carry workpiece carrying devices.
    Type: Application
    Filed: December 18, 2008
    Publication date: December 30, 2010
    Inventors: Wolfgang Horn, Moshe Israel Meidar, Waldemar Haus
  • Publication number: 20100327863
    Abstract: A device for transporting a magnetic head, a device for inspecting a magnetic head, and a method for manufacturing a magnetic head are provided. The device for transporting a magnetic head is capable of freely changing a posture of a thin film magnetic head when transporting a row bar-shaped thin film magnetic head. The transporting device for transporting a slender rectangular plate-like, that is, row bar-shaped magnetic head, cut from a wafer is capable of performing vertical installation and horizontal installation. The transporting device for transporting a slender rectangular plate-like, i.e., row bar-shaped magnetic head, is capable of performing the vertical installation and horizontal installation, and changing the posture of the magnetic head from vertical installation into horizontal installation and from horizontal installation into vertical installation when transporting the magnetic head between processes.
    Type: Application
    Filed: June 21, 2010
    Publication date: December 30, 2010
    Applicant: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Teruaki TOKUTOMI, Akira TOBITA, Tsuneo NAKAGOMI
  • Publication number: 20100326354
    Abstract: A substrate processing system includes a processing unit, a substrate loading unit, a substrate unloading unit, and a carrying unit. A carrying device has a constitution in which a suction portion suctioning and holding a substrate is rotatable about an arm portion provided in a base portion and the substrate is rotated in the state where the substrate is held by a holding portion. A coating device has a constitution in which a liquid material is ejected from a nozzle to both surfaces of the substrate rotating in an upright state.
    Type: Application
    Filed: September 8, 2010
    Publication date: December 30, 2010
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Tsutomu SAHODA, Futoshi SHIMAI, Akihiko SATO
  • Patent number: 7857571
    Abstract: An apparatus for manufacturing capable of reducing footprint and a working time for loading substrates as short as possible, and a method using the same are disclosed. The apparatus includes a cassette to accommodate a plurality of substrates, a stocker in which the cassette is loaded, a process progressing unit to receive the respective substrates in the cassette and to perform a process, a loader having at least two hands and disposed between the stocker and the process progressing unit to receive and supply the respective substrates accommodated in the cassette on the stocker to the process progressing unit, and at least one withdrawing unit to withdraw and supply the respective substrates from the cassette to at least one of the hands in the loader.
    Type: Grant
    Filed: June 12, 2006
    Date of Patent: December 28, 2010
    Assignee: LG Display Co., Ltd.
    Inventor: Yoon Ho Hwang
  • Publication number: 20100321648
    Abstract: A substrate transfer apparatus, for transferring a substrate from a first module to a second module, includes a moving base having a Y-motion axis for moving the moving base in Y-direction, and a substrate holding member mounted to the moving base via X-motion axis so as to move relative to the moving base to be in an advanced position and a retracted position relative to the moving base. The X-motion axis operates when the Y-motion axis is operating, if the X-motion axis must be parallel to the Y-motion axis when transferring the substrate from the substrate holding member to the second module.
    Type: Application
    Filed: June 22, 2010
    Publication date: December 23, 2010
    Applicant: Tokyo Electron Limited
    Inventors: Masahiro NAKAHARADA, Naruaki Iida, Katsuhiro Morikawa, Suguru Enokida
  • Publication number: 20100319733
    Abstract: Methods and apparatuses for integrated cleaning of objects comprising a sequence of wet cleaning and vacuum drying in a same process chamber. The present integrated cleaning process can eliminate moving parts, improving the system reliability. Vacuum decontamination can be included for degassing and decontaminating the cleaned objects. In an embodiment, a cleaner system combines various movements into an integrated movement to be handled by a robot, for example, to improve the throughput. For example, an integrated robot movement comprising picking up a closed container from the input load port, moving both the lid and body together, and then depositing the body and lid separately into the appropriate positions in the cleaner to be cleaned.
    Type: Application
    Filed: June 17, 2010
    Publication date: December 23, 2010
    Applicant: Dynamic micro Systems, Semiconductor Equipment GmbH
    Inventor: Lutz Rebstock
  • Publication number: 20100310342
    Abstract: A method and an apparatus for transferring a substrate are described. In the method, a substrate is provided on the surface of a first plate at a first position, the first plate is moved from the first position to a second position in an upper space of a second plate, the substrate is lifted away from the surface of the first plate, the first plate is moved away from the second position, and the substrate is put on the surface of the second plate from the upper space. The apparatus includes a first plate and a second plate each having a surface for carrying the substrate, wherein the first plate can be moved between the first position and the second position.
    Type: Application
    Filed: June 8, 2009
    Publication date: December 9, 2010
    Applicant: MACRONIX International Co., Ltd.
    Inventor: CHIN-CHENG YANG
  • Publication number: 20100310341
    Abstract: A system and a method for moving a wafer during scanning the wafer by an ion beam. The proposed system includes an extendable/retractable arm, a holding apparatus and a driving apparatus. At least a length of the extendable/retractable arm is adjustable. The holding apparatus is capable of holding a wafer and is fixed on a specific portion of the extendable/retractable arm. Furthermore, the driving apparatus is capable of extending and/or retracting the extendable/retractable arm, such that the holding apparatus is moved together with the specific portion. In addition, the proposed method includes the following steps. First, hold the wafer by a holding apparatus fixed on a specific portion of an extendable/retractable arm. After that, adjust a length of the extendable/retractable. Therefore, the holding apparatus, i.e. the wafer, can be moved by the extension/retraction of the extendable/retractable arm.
    Type: Application
    Filed: June 5, 2009
    Publication date: December 9, 2010
    Inventors: Peter MOK, Ko-Chuan Jen, Zhimin Wan
  • Publication number: 20100303587
    Abstract: The invention is an operating device (1, 100, 200) comprising means (2) suited to move a support (3) with an alternating motion (A) around an axis (4). The means (2) comprise one or more permanent magnets (5) arranged along a section of a circumference that is concentric with the axis (4) and a winding (6) controlled by a control unit (7). The invention also concerns a machine for checking and inspecting containers.
    Type: Application
    Filed: November 27, 2007
    Publication date: December 2, 2010
    Inventor: Massimiliano Zanon
  • Publication number: 20100290868
    Abstract: The invention relates to a system for handling slides comprising a tray moving on a carriage provided with seats for the slides and with a tray moving mechanism; a slide loading arm; and a slide holding frame provided with a slide loading arm driving motor, wherein the slide holder (30) is provided with slide holding elements; there are outstretched Maltese crosses (13) on the lower side of the tray (6 ) of the slide feeder (1) and a crank (14) is arranged in the carriage (4), opposite to and parallel with the crosses (13), said crank being coupled to said crosses; said slide loading arm (9) is arranged movably and tillable above (30) and a supporting plate (11) is arranged movably and tiltable below the slide holder, said supporting plate cooperating with said slide loading arm. The slide holding frame (7) is provided with lifting means for tilting the slide loading arm (9) and the supporting plate (11), said lifting means being actuated by controlling means arranged on the disk (15) of the crank (14).
    Type: Application
    Filed: June 6, 2008
    Publication date: November 18, 2010
    Inventors: Tibor Virág, Béla Molnár, Ferenc Szipöcs, Ottó Németh, Attila Biletzky, Viktor Sebestyén Varga, Attila László
  • Publication number: 20100290869
    Abstract: To provide a chip supply pallet preventing destruction of a chip and preventing a reduction in a productivity from being brought about in exchanging a wafer sheet, the chip supply pallet includes a first member 33 having a tension ring 51 brought into contact with a wafer sheet from a lower side, and a fixing member 54 of fixing a ring frame holding the wafer sheet on an inner side on a lower side of the wafer sheet brought into contact with the tension ring 51, and a second member 34 having a fixed portion for fixing to a predetermined position of a chip supply apparatus and a held portion 36 of being held when the chip interchanging pallet 3 is transferred, and the first member 33 is configured to be able to rotationally displace relative to the second member 34 fixed to the predetermined position of the chip supply apparatus.
    Type: Application
    Filed: February 6, 2009
    Publication date: November 18, 2010
    Applicant: PANASONIC CORPORATION
    Inventor: Yasuo Takanami
  • Publication number: 20100290867
    Abstract: A mailpiece transfer system uses a transfer box to unload a plurality of mailpieces from an open tray, while maintaining an orientation alignment of the flat items. The tray is placed in the transfer box, after which the transfer box, holding the tray, is rotated to cause the mailpieces to rest against a cover of the transfer box. The tray is then removed, and the transfer box rotated again, allowing the substantially flat items to rest against a bottom of the transfer box, but without the tray. The mailpieces are then guided to a next workstation. The mailpieces may be edged for delivery to the next workstation.
    Type: Application
    Filed: September 15, 2009
    Publication date: November 18, 2010
    Applicant: RG Research Inc.
    Inventors: Jeffrey R. Nice, Thomas Bland, JR., Thomas I. Stewart, JR.
  • Publication number: 20100284769
    Abstract: A feeder device is disclosed for insertion machines for printed circuit boards, including a housing, a drive motor, a gear unit and a pin wheel engaging with a transport tape. The drive motor and the gear unit are arranged on a common side wall of the housing such that the axles thereof are perpendicular to the side wall. A narrow structural design of the feeder device can be provided, which also allows easy mounting. To this end, the rotor of the drive motor is rotatably mounted on only one axle which is fixedly connected to the side wall of the housing.
    Type: Application
    Filed: May 24, 2007
    Publication date: November 11, 2010
    Applicant: MAXON MOTOR AG
    Inventors: Hugo Fritschy, Arnold Teimel
  • Publication number: 20100279438
    Abstract: An apparatus is provided that includes a load port for receiving a container that houses a wafer and a detector disposed proximate the load port such that the detector detects a metal characteristic of the wafer. The detected metal characteristic indicates whether the wafer is at a proper location. Also, provided is a method for use in semiconductor manufacture that includes providing a container that houses a wafer, receiving the container in a load port, detecting a metal characteristic of the wafer, and determining whether the wafer is at a proper location based on the detected metal characteristic of the wafer.
    Type: Application
    Filed: May 1, 2009
    Publication date: November 4, 2010
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Su Chao An, Chih-Ming Wan, Bing-Chen Lin, Yang Kai Fan
  • Publication number: 20100269602
    Abstract: An automated inspection system for inspecting, sorting and re-coining each of the locking bar, the rack, and the pin of a rekeyable lock cylinder, as well as other small close-tolerance components in an average cycle time of 1.5 seconds. The inspection system includes a high-speed pneumatic sorting matrix which selectively transfers the components into various length measuring stations, camera inspection stations, mechanical gauge stations, and/or coining stations, and then positions the parts at those stations for combined gauge and visual tolerance checking and sorting. Defects are identified by a combination of visual and machine-gauge inspection, and the sorted components are sorted into three bins: rejects; good parts; and parts for coining. The inspection/sorting system is capable of tolerance-checking down to 0.00011811?, with a repeatability of 0.00005906.
    Type: Application
    Filed: April 27, 2010
    Publication date: October 28, 2010
    Inventor: Gerry Damikolas
  • Publication number: 20100272544
    Abstract: A system for handling wafers comprising: at least one unload station; at least one intermediate station designed to hold the wafers at an angle; a processing station; and a transfer device configured to move the wafers between the stations. The intermediate station may be configured to receive the wafers in a back-to-back arrangement. An apparatus for handling wafers comprising: on one side, a vacuum gripper configured to grip individual wafers; and, on the other side, a gravity gripper configured to support one or more wafers when positioned beneath the wafers and lifted. A method for handling wafers, comprising: unloading wafers; transferring the wafers to an intermediate station; transferring the wafers from the intermediate station to a processing station; treating the wafers; unloading the wafers from the processing station; and reloading the wafers in a carrier, wherein the wafers are unloaded, transferred and reloaded by a transfer device.
    Type: Application
    Filed: March 30, 2010
    Publication date: October 28, 2010
    Applicant: ATS Automation Tooling Systems Inc.
    Inventors: Frederic Rivollier, Ryan Chubb
  • Publication number: 20100260583
    Abstract: A measurement apparatus includes a support frame to support a feed mechanism, an orientation mechanism, a measurement mechanism, a transfer mechanism, and an unloading mechanism. The feed mechanism includes a first holding assembly, a first elevation assembly, a second elevation assembly, and a first clipping assembly. The first elevation assembly and the second elevation assembly are positioned under the holding assembly, and the first clipping assembly is positioned over the holding assembly. The measurement mechanism includes a support stage and at least one calibration head. The transfer mechanism includes at least one pickup head. The orientation mechanism includes a driving member and a securing assembly driven by the driving member. The unloading mechanism has the same structure as the feed mechanism. The disclosure further provides a measuring method using the measurement apparatus.
    Type: Application
    Filed: October 30, 2009
    Publication date: October 14, 2010
    Applicants: HONG FU JIN PRECISION INDUSTRY (ShenZhen) CO., LTD., HON HAI PRECISION INDUSTRY CO., LTD.
    Inventors: Dong-Sheng LIN, Tzyy-Chyi TSAI, Jian-Jun LI
  • Publication number: 20100254787
    Abstract: Positioning device to position electronic circuit boards with respect to an operating unit. The device comprises a rotary member which rotates selectively between a first operating position and a second operating position, and a positioning member mounted on the rotary member. The positioning member comprises a frame which is mounted removably on the rotary member, and a strip made of transpirant material on which each board is rested, wherein the strip is wound between a pair of winding/unwinding rollers pivoted on the frame.
    Type: Application
    Filed: December 5, 2007
    Publication date: October 7, 2010
    Inventor: Andrea Baccini
  • Publication number: 20100253362
    Abstract: A printed circuit board (PCB) testing system includes two gear groups, a pair of transmission belts and a driver. The pair of transmission belts geared onto and driven by the two gear groups is parallel and respectively perpendicular to the PCB transmission guideway so as to define a PCB accommodation space therebetween. Each transmission belt includes a plurality of projections. The two gear groups are rotated synchronously and inversely. During operation, the projections on the pair of transmission belts, facing the PCB accommodation space, move down, the projections move away from each other and to the bottom of the corresponding transmission belts, and a PCB supported by the pair of projections drops onto the PCB transmission guideway.
    Type: Application
    Filed: October 21, 2009
    Publication date: October 7, 2010
    Applicants: HONG FU JIN PRECISION INDUSTRY (ShenZhen)CO., LTD., HON HAI PRECISION INDUSTRY CO., LTD.
    Inventors: GUI TAN, YEN-PIN CHANG, FENG-YING XIA, JIAN-HUA ZHOU, PENG-XU LI
  • Patent number: 7806643
    Abstract: A substrate processing apparatus is provided. The apparatus has a casing, a low port interface and a carrier holding station. The casing has processing devices within for processing substrates. The load port interface is connected to the casing for loading substrates into the processing device. The carrier holding station is connected to the casing. The carrier holding station is adapted for holding at least one substrate transport carrier at the load port interface. The carrier holding station is arranged to provide a fast swap section for replacement of the substrate transport carrier from the carrier holding station.
    Type: Grant
    Filed: August 23, 2005
    Date of Patent: October 5, 2010
    Assignee: Brooks Automation, Inc.
    Inventors: Gerald M. Friedman, Michael L. Bufano, Christopher Hofmeister, Ulysses Gilchrist, William Fosnight
  • Patent number: 7809466
    Abstract: In the case of transporting an article to a load port which is occupied by another article, at the time of generating a transportation command, estimated arrival time T1 when the article arrives at the load port and estimated removal time T2 when the article which occupies the load port is removed are compared with each other. If the time T2 is earlier than the time T1, a transportation command for transporting the article to the load port is assigned. If the time t2 is later than the time T1, a transportation command for transporting the article to a buffer on the upstream side of the load port is assigned.
    Type: Grant
    Filed: February 1, 2007
    Date of Patent: October 5, 2010
    Assignee: Murata Kikai Kabushiki Kaisha
    Inventor: Toyokazu Kobayashi
  • Publication number: 20100245797
    Abstract: A substrate handling structure is provided that is particularly useful with an imaging optical system that images a single reticle to a pair of imaging locations. The principles of the present invention provide substrate handling structures with new and useful metrology structures, and new and useful ways of moving substrates in relation to the imaging locations, that are designed to provide benefits in providing information as to the substrate position as a substrate is being imaged, while reducing the size of the support structure. These features are believed to be important as imaging of substrates in the 450 mm diameter range is developing.
    Type: Application
    Filed: March 25, 2010
    Publication date: September 30, 2010
    Applicant: Nikon Corporation
    Inventors: Eric Peter Goodwin, Daniel Gene Smith, Michael B. Binnard
  • Publication number: 20100239396
    Abstract: A repositionable support (1) includes a stationary portion (2) having a movable portion (3) mounted thereon to move in at least one adjustment direction (4) via a link member (5) associated with an actuator for adjusting the position of the movable portion along the adjustment direction, the link member being associated with a member for holding the movable portion in position relative to the stationary portion. A work station including such a support is also disclosed.
    Type: Application
    Filed: January 19, 2007
    Publication date: September 23, 2010
    Applicant: ABB FRANCE
    Inventor: Bernard Negre
  • Patent number: 7801641
    Abstract: A route setting method according to the present invention sets, in a work transfer system including a plurality of work transfer units which connect a plurality of loading places to a plurality of unloading places, a route of the work transfer units to pass a work between a planned loading place and a planned unloading place which are required to transfer the work in the plurality of loading places and the plurality of unloading places. The route setting method includes the steps of setting, based on layout information representing a layout of the plurality of work transfer units, a plurality of candidates of the route between the planned loading place and the planned unloading place, and selecting, based on a predetermined condition, one route from the plurality of candidates of the route set in the candidate setting step.
    Type: Grant
    Filed: February 10, 2006
    Date of Patent: September 21, 2010
    Assignees: Hirata Corporation, Hirata Software Technology Co., Ltd.
    Inventors: Takeru Yoshikawa, Keiichi Uchimura, Zhencheng Hu, Tsugumitsu Kandabashi
  • Patent number: 7798758
    Abstract: A semiconductor workpiece processing system having at least one processing apparatus for processing workpieces, a primary transport system, a secondary transport system and one or more interfaces between first transport system and second transport system. The primary and secondary transport systems each have one or more sections of substantially constant velocity and in queue sections communicating with the constant velocity sections.
    Type: Grant
    Filed: November 7, 2006
    Date of Patent: September 21, 2010
    Assignee: Brooks Automation, Inc.
    Inventors: Michael L. Bufano, Ulysses Gilchrist, William Fosnight, Christopher Hofmeister, Daniel Babbs, Robert C. May
  • Publication number: 20100232913
    Abstract: Equipment and a method for transporting red-hot coke are provided, which do not need increase in size of a hoist, reinforcement of a CDQ system, and the like, even when the amount capacity of a coke bucket does not correspond to an amount equivalent to the coke amount from one oven chamber. In particular, transporting equipment for red-hot coke, includes: a non-rotary coke receiving car for receiving coke out of a coke oven; a coke bucket for receiving the coke discharged from the coke receiving car; a transporting device for transporting the coke bucket to a hoist position; and a hoist for transporting the coke bucket to a coke dry quenching system.
    Type: Application
    Filed: April 28, 2006
    Publication date: September 16, 2010
    Applicant: JP STEEL PLANTECH CO.
    Inventors: Shinsuke Fujita, Takeshi Sekiguchi
  • Publication number: 20100226737
    Abstract: A substrate transfer apparatus that transfers a substrate with respect to a processing apparatus includes a substrate accommodation unit for accommodating a plurality of substrates to be loaded into the processing apparatus in a vertical direction in a multi-stage; a substrate accommodation unit for accommodating a plurality of substrates unloaded from the processing apparatus in a vertical direction in a multi-stage; a substrate holder for transferring the substrates from the substrate accommodation unit to the processing apparatus; a substrate holder for transferring the substrates from the processing apparatus to the substrate accommodation unit. The substrate accommodation unit has an elevating mechanism for moving at least one of the substrate and the substrate holder in a vertical direction relative to each other and the substrate accommodation unit has an elevating mechanism for moving at least one of the substrate and the substrate holder in a vertical direction relative to each other.
    Type: Application
    Filed: March 2, 2010
    Publication date: September 9, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hiromitsu Sakaue, Hirofumi Yamaguchi