Plasma (e.g., Corona, Glow Discharge, Cold Plasma, Etc.) Patents (Class 427/569)
  • Patent number: 10475986
    Abstract: A magnetoresistive device includes first and second ferromagnetic regions and an intermediate region formed of a dielectric material between the first and second ferromagnetic regions. A surface of the intermediate region at an interface between the intermediate region and at least one of the first and second ferromagnetic regions may be a plasma treated surface.
    Type: Grant
    Filed: April 19, 2018
    Date of Patent: November 12, 2019
    Assignee: EVERSPIN TECHNOLOGIES, INC.
    Inventor: Jijun Sun
  • Patent number: 10454029
    Abstract: Methods and apparatuses for forming conformal, low wet etch rate silicon nitride films having low hydrogen content using atomic layer deposition are described herein. Methods involve depositing a silicon nitride film at a first temperature using a bromine-containing and/or iodine-containing silicon precursor and nitrogen by atomic layer deposition and treating the silicon nitride film using a plasma at a temperature less than about 100° C. Methods and apparatuses are suitable for forming conformal, dense, low wet etch rate silicon nitride films as encapsulation layers over chalcogenide materials for memory applications.
    Type: Grant
    Filed: November 11, 2016
    Date of Patent: October 22, 2019
    Assignee: Lam Research Corporation
    Inventors: Andrew John McKerrow, Dennis M. Hausmann
  • Patent number: 10453657
    Abstract: Embodiments of a gas delivery apparatus for use in a radio frequency (RF) processing apparatus are provided herein. In some embodiments, a gas delivery apparatus for use in a radio frequency (RF) processing apparatus includes: a conductive gas line having a first end and a second end; a first flange coupled to the first end; a second flange coupled to the second end, wherein the conductive gas line extends through and between the first and second flanges; and a block of ferrite material surrounding the conductive gas line between the first and second flanges.
    Type: Grant
    Filed: July 5, 2017
    Date of Patent: October 22, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Daping Yao, Hyman W. H. Lam, John C. Forster, Jiang Lu, Can Xu, Dien-Yeh Wu, Paul F. Ma, Mei Chang
  • Patent number: 10396601
    Abstract: A radio frequency power system is provided that includes bias modules, a switch, a matching network, and a control module. The bias modules are configured to generate respectively DC bias voltages. The switch is configured to (i) receive current from the bias modules, and (ii) control flow of the current from the bias modules to generate a radio frequency bias voltage signal. The matching network is configured to (i) receive the radio frequency bias voltage signal, and (ii) based on the radio frequency bias voltage signal, supply at least a portion of a radio frequency output voltage signal to an electrode of a substrate support in a processing chamber. The control module is connected to the switch and configured to control a state of the switch based on the radio frequency output voltage signal to shape a waveform of the radio frequency bias voltage signal.
    Type: Grant
    Filed: May 25, 2017
    Date of Patent: August 27, 2019
    Assignee: MKS Instruments, Inc.
    Inventors: Ky Luu, Aaron T. Radomski
  • Patent number: 10367080
    Abstract: A method for forming layers suitable for a V-NAND stack is disclosed. Specifically, the method may include multiple cycles for forming an oxide and a nitride in order to form an oxynitride layer.
    Type: Grant
    Filed: May 2, 2016
    Date of Patent: July 30, 2019
    Assignee: ASM IP Holding B.V.
    Inventors: Fu Tang, Qi Xie, Jan Willem Maes, Xiaoqiang Jiang, Michael Eugene Givens
  • Patent number: 10358722
    Abstract: A face plate of a showerhead assembly of a deposition apparatus in which semiconductor substrates are processed includes gas holes arranged in an asymmetric pattern with a hole density which is substantially uniform or varies across the face plate. The face plate can include a lower wall and an outer wall extending vertically upwardly from an outer periphery of the lower wall. The outer wall is sealed to an outer periphery of a back plate such that an inner plenum is formed between the face plate and the back plate. The gas hole pattern in the face plate avoids symmetry which can cause defects on processed substrates.
    Type: Grant
    Filed: December 14, 2015
    Date of Patent: July 23, 2019
    Assignee: LAM RESEARCH CORPORATION
    Inventors: John Wiltse, Damien Slevin
  • Patent number: 10357090
    Abstract: A cooler including a container comprising a linear low-density polyethylene and a glow-in-the-dark additive, a lid comprising the linear low-density polyethylene and the glow-in-the-dark additive and coupleable to the container, each of the container and the lid including an insulation layer, and the glow-in-the-dark additive emitting light when exposed to an external electromagnetic radiation source. A method includes rotomolding a cooler comprising a linear low-density polyethylene polymer and a glow-in-the-dark additive. A method of using a cooler comprising a linear low-density polyethylene polymer and a glow-in-the-dark additive includes exposing the cooler to an external electromagnetic radiation source and removing the cooler from the source, and illuminating the surrounding area with the cooler.
    Type: Grant
    Filed: June 28, 2018
    Date of Patent: July 23, 2019
    Assignee: Gnarwhal Outdoors, LLC
    Inventor: Ryan Hunter Carden
  • Patent number: 10347488
    Abstract: Methods for forming a titanium-containing hard mask film on a substrate surface by exposing the substrate surface to a titanium-containing precursor. The titanium-containing hard mask comprises one or more of silicon, oxygen or carbon atoms and, optionally, nitrogen atoms.
    Type: Grant
    Filed: September 19, 2016
    Date of Patent: July 9, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Rui Cheng, Wei Tang, Pramit Manna, Abhijit Basu Mallick, Srinivas Gandikota
  • Patent number: 10316408
    Abstract: A delivery device, manufacturing system, and process of manufacturing are disclosed. The delivery device includes a feed tube and a chemical vapor deposition coating applied over an inner surface of the feed tube, the chemical vapor deposition coating being formed from decomposition of dimethylsilane. The manufacturing system includes the delivery device and a chamber in selective fluid communication with the delivery device. The process of manufacturing uses the manufacturing system to produce an article.
    Type: Grant
    Filed: November 19, 2015
    Date of Patent: June 11, 2019
    Assignees: SilcoTek Corp., AIXTRON SE
    Inventors: David A. Smith, Min Yuan, James B. Mattzela, Olaf Martin Wurzinger, Dietmar Keiper, Anna Katharina Haab
  • Patent number: 10287678
    Abstract: A method and a device for coating may involve continuously supplying a precursor, in some cases at a constant precursor level, to a plurality of coating modules. As a result, a continuous coating operation is ensured. Moreover, one such method for supplying a precursor to a plurality of coating modules of a coating device may be employed where, for example, the coating modules have a pick-off device and a supply line, the supply line which may be configured as a riser at least in some regions. Precursor may be supplied by the supply line to the pick-off device of the coating module.
    Type: Grant
    Filed: April 17, 2015
    Date of Patent: May 14, 2019
    Assignees: ThyssenKrupp Steel Europe AG, ThyssenKrupp AG
    Inventors: Walter Mühlhause, Michael Strack
  • Patent number: 10242844
    Abstract: A plasma processing chamber includes a substrate support for receiving and holding a substrate. A window in the plasma processing chamber is oriented over the substrate support. A plurality of transformer coupled plasma coils is disposed over the window. The TCP coils are disposed radially equidistant from one another. Each TCP coil is connected to a power circuitry at one end and the opposite end is electrically grounded. The power circuitry includes an RF source and a phase-shift modulator. The RF source is used to apply RF power to the TCP coils at a generator frequency to generate RF electric field. The phase-shift modulator coupled to the RF source is configured to apply a modulation frequency that is phase-shifted to allow the RF electric field applied to each of the TCP coils to transition in a rotating pattern.
    Type: Grant
    Filed: November 25, 2014
    Date of Patent: March 26, 2019
    Assignee: Lam Research Corporation
    Inventors: Jon McChesney, Alex Paterson
  • Patent number: 10211099
    Abstract: The methods, systems and apparatus described herein relate to chamber conditioning for remote plasma processes, in particular remote nitrogen-based plasma processes. Certain implementations of the disclosure relate to remote plasma inhibition processes for feature fill that include chamber conditioning. Embodiments of the disclosure relate to exposing remote plasma processing chambers to fluorine species prior to nitrogen-based remote plasma processing of substrates such as semiconductor wafers. Within-wafer uniformity and wafer-to-wafer uniformity is improved.
    Type: Grant
    Filed: December 19, 2016
    Date of Patent: February 19, 2019
    Assignee: Lam Research Corporation
    Inventors: Deqi Wang, Gang Liu, Anand Chandrashekar, Tsung-Han Yang, John W. Griswold
  • Patent number: 10190217
    Abstract: Disclosed is a plasma film-forming method including: accommodating a workpiece in a chamber; supplying a film-forming gas into the chamber; generating plasma within the chamber; and exciting the film-forming gas by the plasma to form a predetermined film on the workpiece. Helium gas is supplied as a plasma generating gas into the chamber together with the film-forming gas to generate plasma containing the helium gas in the chamber.
    Type: Grant
    Filed: June 20, 2017
    Date of Patent: January 29, 2019
    Assignee: Tokyo Electron Limited
    Inventors: Minoru Honda, Toshio Nakanishi, Masashi Imanaka, Cheonsoo Han
  • Patent number: 10167549
    Abstract: In the present embodiment, in the production of a heat-resistant composite material resulting from impregnating a ceramic fiber preform with silicon carbide, a mixed gas containing starting material gas, an additive gas, and a carrier gas is supplied to a substrate having a minute structure such as a preform stored in an electric furnace, silicon carbide is deposited to form a film by means of a chemical vapor deposition method or a chemical vapor infiltration method, and the film formation growth speed and embedding uniformity are controlled by means of the amount of additive gas added to the starting material gas, the starting material gas contains tetramethylsilane, and the additive gas contains a molecule containing chlorine such as methyl chloride or hydrogen chloride. The film formation growth speed and embedding uniformity of the silicon carbide are both achieved.
    Type: Grant
    Filed: June 28, 2016
    Date of Patent: January 1, 2019
    Assignees: IHI CORPORATION, THE UNIVERSITY OF TOKYO
    Inventors: Takeshi Nakamura, Kozue Hotozuka, Yasuyuki Fukushima, Yukihiro Shimogaki, Takeshi Momose, Hidetoshi Sugiura, Kohei Shima, Yuichi Funato
  • Patent number: 10167545
    Abstract: An indium tin oxide film containing by weight about 90% In2O3 and about 10% SnO2 is prepared using a low-energy deposition sputter process on a substrate. The indium tin oxide film thus obtained has a carrier concentration on the order of 1020/cm3 and a carrier mobility greater than 30 cm2/Vs. The low carrier concentration results in an increased transmission in the near infra-red region, while the high carrier mobility results in good conductive properties.
    Type: Grant
    Filed: April 20, 2017
    Date of Patent: January 1, 2019
    Assignee: Nanoco Technologies Ltd.
    Inventor: Stuart Stubbs
  • Patent number: 10155843
    Abstract: Described herein are facile, one-step initiated plasma enhanced chemical vapor deposition (iPECVD) methods of synthesizing hyper-thin (e.g., sub-100 nm) and flexible metal organic covalent network (MOCN) layers. As an example, the MOCN may be made from zinc tetraphenylporphyrin (ZnTPP) building units. When deposited on a membrane support, the MOCN layers demonstrate gas separation exceeding the upper bounds for multiple gas pairs while reducing the flux as compared to the support alone.
    Type: Grant
    Filed: November 18, 2016
    Date of Patent: December 18, 2018
    Assignees: Massachusetts Institute of Technology, Luxembourg Institute of Science and Technology
    Inventors: Karen K. Gleason, Minghui Wang, Nicolas D. Boscher, Patrick Choquet
  • Patent number: 10086563
    Abstract: A method of producing a composite material includes preparing at least one molded product raw material by primary curing; preparing an assembled body by assembling the primarily cured molded product raw material with another molded product raw material; and integrally forming the molded product raw materials by heating the assembled body to a temperature equivalent to or higher than a glass transition point of the primarily cured molded product raw material to cause a phase of the at least one molded product raw material to transition to a rubberized state, by allowing an adhesive adjacent to the molded product raw material or the other molded product raw material adjacent to the molded product raw material to coexist with the molded product raw material in the rubberized state, and by secondarily curing the primarily cured at least one molded product raw material.
    Type: Grant
    Filed: December 18, 2013
    Date of Patent: October 2, 2018
    Assignee: MITSUBISHI HEAVY INDUSTRIES, LTD.
    Inventors: Hidetaka Hattori, Noriya Hayashi, Akihisa Watanabe
  • Patent number: 10053746
    Abstract: A method of repairing a worn carburized surface on a sprag clutch comprising the steps of: grinding the worn carburized surface of the sprag clutch to prepare the surface for metallurgical bonding; place the worn carburized surface in a PVD Cathodic Arc chamber; preheat the worn carburized surface to remove moisture and provide for a good metallurgical bonding surface; reverse sputter clean the surface to remove any surface oxide; apply a first coating layer using the PVD process to a maximum thickness; change the coating macro topology by polishing the coated surface; apply a second coating layer using the PVD process to a maximum thickness; and, grind or polish the coating to a desired dimension.
    Type: Grant
    Filed: October 31, 2016
    Date of Patent: August 21, 2018
    Assignee: FLORIDA TURBINE TECHNOLOGIES, INC.
    Inventors: Russell B Jones, Robert J. Wright
  • Patent number: 10002748
    Abstract: The present invention generally relates to a method for detecting the breakage of one or more grounding straps without stopping processing or opening the processing chamber for inspection. In one embodiment, a method for detecting grounding strap breakage in a processing chamber includes monitoring real-time RF related data from plasma generated in the processing chamber. The method also includes comparing the real-time RF related data with a pre-determined threshold RF related data. The method includes generating an alert if the real-time RF related data meets or exceeds the pre-determined threshold RF related data. In one embodiment, the RF related data includes RF frequency, direct current voltage, voltage peak-to-peak, and/or RF reflected power.
    Type: Grant
    Filed: January 30, 2015
    Date of Patent: June 19, 2018
    Assignee: Applied Materials, Inc.
    Inventors: Ilias Iliopoulos, Shuo Na, Kelby Yancy, Chunsheng Chen
  • Patent number: 9972740
    Abstract: One embodiment of the present invention can provide a system for fabricating a photovoltaic structure. During fabrication, the system can deposit a first passivation layer on a first side of a Si base layer of the photovoltaic structure using a static chemical vapor deposition process. The static chemical vapor deposition process can be performed inside a first reaction chamber. The system can then transfer the photovoltaic structure from the first reaction chamber to a second reaction chamber without the photovoltaic structure leaving a common vacuum space comprising both reaction chambers, and deposit a second passivation layer on the first passivation layer using an inline chemical vapor deposition process. The inline chemical vapor deposition process can be performed inside the second reaction chamber.
    Type: Grant
    Filed: December 30, 2015
    Date of Patent: May 15, 2018
    Assignee: TESLA, INC.
    Inventors: Yongkee Chae, Jianming Fu
  • Patent number: 9966569
    Abstract: An organic EL apparatus includes a substrate, an organic EL element that is disposed on the substrate, and a sealing layer that seals the organic light emission element by covering, and the sealing layer is a multilayer body which includes a first sealing layer, a buffer layer, and a second sealing layer respectively having different functions and being sequentially stacked from the organic EL element side. The second sealing layer is mainly made of silicon oxynitride, and includes an inner layer, an intermediate layer, and an outer layer being sequentially stacked on the organic EL element side. Stress of the intermediate layer is equal to or less than stress of the inner layer, and stress of the outer layer is greater than the stress of the inner layer.
    Type: Grant
    Filed: March 4, 2016
    Date of Patent: May 8, 2018
    Assignee: SEIKO EPSON CORPORATION
    Inventor: Hisatoshi Nakamura
  • Patent number: 9960072
    Abstract: A vertical adjustment assembly is disclosed in order to provide for matching vertical positions of two substrates within separate chambers or cavities of a reaction system for processing of semiconductor substrates. The vertical adjustment assembly, in cooperation with a main lift driver, can provide for a more accurate positioning of the substrates to account for a tolerance stack-up error.
    Type: Grant
    Filed: February 22, 2016
    Date of Patent: May 1, 2018
    Assignee: ASM IP Holding B.V.
    Inventor: Stephen Dale Coomer
  • Patent number: 9922854
    Abstract: The present invention generally relates to a vertical CVD system having a processing chamber that is capable of processing multiple substrates. The multiple substrates are disposed on opposite sides of the processing source within the processing chamber, yet the processing environments are not isolated from each other. The processing source is a horizontally centered vertical plasma generator that permits multiple substrates to be processed simultaneously on either side of the plasma generator, yet independent of each other. The system is arranged as a twin system whereby two identical processing lines, each with their own processing chamber, are arranged adjacent to each other. Multiple robots are used to load and unload the substrates from the processing system. Each robot can access both processing lines within the system.
    Type: Grant
    Filed: April 29, 2011
    Date of Patent: March 20, 2018
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Shinichi Kurita, Jozef Kudela, Suhail Anwar, John M. White, Dong-Kil Yim, Hans Georg Wolf, Dennis Zvalo, Makoto Inagawa, Ikuo Mori
  • Patent number: 9916993
    Abstract: The embodiments herein relate to methods and apparatus for performing ion etching on a semiconductor substrate, as well as methods for forming such apparatus. In some embodiments, an electrode assembly may be fabricated, the electrode assembly including a plurality of electrodes having different purposes, with each electrode secured to the next in a mechanically stable manner. Apertures may be formed in each electrode after the electrodes are secured together, thereby ensuring that the apertures are well-aligned between neighboring electrodes. In some cases, the electrodes are made from degeneratively doped silicon, and the electrode assembly is secured together through electrostatic bonding. Other electrode materials and methods of securing may also be used. The electrode assembly may include a hollow cathode emitter electrode in some cases, which may have a frustoconical or other non-cylindrical aperture shape. A chamber liner and/or reflector may also be present in some cases.
    Type: Grant
    Filed: June 23, 2016
    Date of Patent: March 13, 2018
    Assignee: Lam Research Corporation
    Inventors: Ivan L. Berry, III, Thorsten Lill
  • Patent number: 9892909
    Abstract: A film forming method for forming a silicon nitride film on a substrate within a vacuum container includes a first process of supplying a gas of a silicon raw material to the substrate to cause the silicon raw material gas to be adsorbed onto the substrate, a second process of subsequently supplying an ammonia gas to the substrate in a non-plasma-converted state to cause the ammonia gas to be physically adsorbed onto the substrate, a third process of subsequently forming a reaction product layer by supplying active species obtained by plasma-converting a plasma-forming gas for forming plasma to the substrate, thereby causing ammonia physically adsorbed onto the substrate to react with the silicon raw material, and forming the silicon nitride film by depositing the reaction product layer by repeating multiple times a cycle including the first process, the second process and the third process.
    Type: Grant
    Filed: December 15, 2016
    Date of Patent: February 13, 2018
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Noriaki Fukiage, Takayuki Karakawa, Akihiro Kuribayashi, Jun Ogawa
  • Patent number: 9842726
    Abstract: A method for monitoring at least one process parameter of a plasma process being performed on a semiconductor wafer, surface or surface and determine arc events occurring within the plasma tool chamber. The method comprises the steps of detecting the modulated light being generated from the plasma sheath during the plasma process; sampling RF voltage and current signals from the RF transmission line; processing the detected modulated light and the RF signals to produce at least one monitor statistic for the plasma process, and process the monitor signal to determine the occurrence of arcing events during the wafer processing.
    Type: Grant
    Filed: August 12, 2010
    Date of Patent: December 12, 2017
    Assignee: Verity Instruments, Inc.
    Inventors: Stephen Daniels, Shane Glynn, Felipe Soberon, Paul Maguire
  • Patent number: 9763345
    Abstract: Provided is a gas barrier laminate that can be produced inexpensively as compared with the case of using an inorganic film without requiring a complex production process, and exhibits an excellent gas barrier capability and excellent flexibility, and also provided are a method for producing the gas barrier laminate, an electronic device member that includes the gas barrier laminate, and an electronic device that includes the electronic device member. A gas barrier laminate including a base and a gas barrier layer, the gas barrier layer being provided on the base, the gas barrier layer being obtained by implanting ions into an organosilicon compound thin film formed by a CVD method that utilizes an organosilicon compound as a deposition raw material, a method for producing the gas barrier laminate, an electronic device member that includes the gas barrier laminate, and an electronic device that includes the electronic device member are provided.
    Type: Grant
    Filed: February 27, 2012
    Date of Patent: September 12, 2017
    Assignee: LINTEC CORPORATION
    Inventor: Satoshi Naganawa
  • Patent number: 9741627
    Abstract: The present invention provides an etching apparatus suitable for etching polysilicon on a substrate or bulk silicon constituting the substrate. The present invention relates to an etching apparatus including a gas-flow adjusting means that allows etching gas to flow from a periphery of a substrate to substantially a center of the substrate, and relates to a technology capable of etching polysilicon or bulk silicon at a uniform thickness on an entire substrate surface. In addition, the gas-flow adjusting means is installed in a vertically movable manner, and an etching speed can be controlled by an adjustment of the gas-flow adjusting means.
    Type: Grant
    Filed: January 21, 2014
    Date of Patent: August 22, 2017
    Assignee: IAS, INC
    Inventors: Katsuhiko Kawabata, Takuma Hayashi, Mitsumasa Ikeuchi, Sungjae Lee, Jin Kunika
  • Patent number: 9741539
    Abstract: Methods of operating a plasma enhanced substrate processing system using pulsed radio frequency (RF) power are provided herein. In some embodiments, a method of operating a plasma enhanced substrate processing system using pulsed radio frequency (RF) power includes providing a first pulsed RF power waveform to a process chamber at a first power level during a first time period, providing a second pulsed RF power waveform at a first power level to the process chamber during the first time period, obtaining a first reflected power created by the first and second pulsed RF power waveforms provided during the first time period, and performing a first load leveling process to adjust the first power level of the first pulsed RF power waveform to compensate for the obtained reflected power during the first time period to produce a delivered power at a preset power level.
    Type: Grant
    Filed: October 19, 2015
    Date of Patent: August 22, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Katsumasa Kawasaki, Justin Phi, Sergio Shoji
  • Patent number: 9694564
    Abstract: A heat-sealable polymer-based multilayer film for peelable packaging comprising a polymer-based core layer having an interior-facing side and an exterior-facing side; a peelable intermediate layer on the interior-facing side of the core layer, the peelable layer having an interior-facing side and a core-facing side, and the peelable layer containing a peeling agent; and an interior skin layer on the interior-facing side of the peelable layer; wherein the core layer is polypropylene-based and contains a propylene-ethylene copolymer to impart puncture-resistance to the multilayer film.
    Type: Grant
    Filed: June 1, 2015
    Date of Patent: July 4, 2017
    Assignee: Inteplast Group Corporation
    Inventors: Rafael E. Bayona P., Paul T. Alder, Gregory G. Gillis
  • Patent number: 9681015
    Abstract: An optical device includes: a movable section capable of swinging about a first axis; a frame body section capable of swinging about a second axis crossing the first axis; a first shaft section configured to connect the movable section and the frame body section; and a light reflection plate fixed to the movable section and provided with a light reflecting section having light reflectivity, wherein in plan view from a thickness direction of the light reflection plate, a center of gravity of the light reflection plate is shifted from the first axis.
    Type: Grant
    Filed: June 11, 2014
    Date of Patent: June 13, 2017
    Assignee: Seiko Epson Corporation
    Inventor: Yasushi Mizoguchi
  • Patent number: 9659771
    Abstract: Embodiments of the disclosure relate to deposition of a conformal organic material over a feature formed in a photoresist or a hardmask, to decrease the critical dimensions and line edge roughness. In various embodiments, an ultra-conformal carbon-based material is deposited over features formed in a high-resolution photoresist. The conformal organic layer formed over the photoresist thus reduces both the critical dimensions and the line edge roughness of the features.
    Type: Grant
    Filed: April 25, 2016
    Date of Patent: May 23, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Bencherki Mebarki, Pramit Manna, Li Yan Miao, Deenesh Padhi, Bok Hoen Kim, Christopher Dennis Bencher
  • Patent number: 9659677
    Abstract: A shielding device for shielding an edge of a semiconductor substrate can include a multisided frame defining a perimeter of an enclosed area, and a shield coupled to the frame. The shield may be configured to move between a first position where the shield is retracted to the perimeter and a second position where shield advanced into the enclosed area. A method for processing a semiconductor substrate includes placing a semiconductor substrate in position in an implantation chamber, covering edges of the semiconductor substrate by pushing shields into engagement with the edges, performing an ion implantation procedure, and retracting the shields from the edges.
    Type: Grant
    Filed: June 27, 2014
    Date of Patent: May 23, 2017
    Assignee: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventors: Aaron P. Webb, Charles T. Carlson, William T. Weaver, Timothy J. Miller, James D. Strassner
  • Patent number: 9653282
    Abstract: A method for cleaning a substrate, such as a silicon substrate, a silicon-germanium substrate, or other silicon-containing substrate is disclosed. The method includes exposing the substrate to a first plasma configured to attack a sub-oxide on the substrate. The method also includes exposing the substrate to a second plasma configured to attack the native oxide on the substrate. The method further includes exposing the substrate to a gas containing at least one of molecular chlorine or a chlorine compound. The gas may be configured to remove at least some of the remaining native oxide and sub-oxide. After the cleaning process, the substrate may be further processed. Further processing steps may include, for example, an epitaxial growth process. An epitaxial growth process performed on a substrate cleaned according to the methods disclosed herein will exhibit few defects.
    Type: Grant
    Filed: July 29, 2014
    Date of Patent: May 16, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Chun Yan, Xinyu Bao, Melitta Manyin Hon
  • Patent number: 9646818
    Abstract: Aspects of the disclosure pertain to methods of forming planar amorphous carbon layers on patterned substrates. Layers formed according to embodiments outlined herein have may improve manufacturing yield by making the top surface of an amorphous carbon layer more planar despite underlying topography or stoichiometric variations. The amorphous carbon layers may comprise carbon and hydrogen, may consist of carbon and hydrogen or may comprise or consist of carbon, hydrogen and nitrogen in embodiments. Methods described herein may comprise introducing a hydrogen-containing precursor at a relatively high ratio relative to a hydrocarbon into a substrate processing region and concurrently applying a local plasma power capacitively to the substrate processing region to form the planar layer. Alternatively an atomic flow ratio of hydrogen:carbon may begin low and increase discretely or smoothly during formation of the amorphous carbon layer.
    Type: Grant
    Filed: March 22, 2016
    Date of Patent: May 9, 2017
    Assignee: Applied Materials, Inc.
    Inventors: Patrick James Reilly, David Alan Bethke, Kwangduk Lee
  • Patent number: 9640582
    Abstract: A system and method for image sensing is disclosed. An embodiment comprises a substrate with a pixel region and a logic region. A first resist protect oxide (RPO) is formed over the pixel region, but not over the logic region. Silicide contacts are formed on the top of active devices formed in the pixel region, but not on the surface of the substrate in the pixel region, and silicide contacts are formed both on the top of active devices and on the surface of the substrate in the logic region. A second RPO is formed over the pixel region and the logic region, and a contact etch stop layer is formed over the second RPO. These layers help to reflect light back to the image sensor when light impinges the sensor from the backside of the substrate, and also helps prevent damage that occurs from overetching.
    Type: Grant
    Filed: May 26, 2015
    Date of Patent: May 2, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yin-Kai Liao, Han-Chi Liu, Yuan-Hung Liu, Dun-Nian Yaung, Jen-Cheng Liu
  • Patent number: 9617398
    Abstract: A functionalized carbon fiber having covalently bound on its surface a sizing agent containing epoxy groups, at least some of which are engaged in covalent bonds with crosslinking molecules, wherein each of said crosslinking molecules possesses at least two epoxy-reactive groups and at least one free functional group reactive with functional groups of a polymer matrix in which the carbon fiber is to be incorporated, wherein at least a portion of said crosslinking molecules are engaged, via at least two of their epoxy-reactive groups, in crosslinking bonds between at least two epoxy groups of the sizing agent. Composites comprised of these functionalized carbon fibers embedded in a polymeric matrix are also described. Methods for producing the functionalized carbon fibers and composites thereof are also described.
    Type: Grant
    Filed: December 16, 2013
    Date of Patent: April 11, 2017
    Assignee: UT-BATTELLE, LLC
    Inventors: Frederic Vautard, Soydan Ozcan
  • Patent number: 9614214
    Abstract: The present invention relates to a method for improving charge/discharge cycle characteristics of a lithium secondary battery using a Si based anode active material, the method comprising surface-treating a surface of an anode current collector to have specific morphology, and preferably vapor-depositing a silicon film, as the anode active material by sputtering under application of bias voltage to the surface-treated anode current collector, and/or disposing an adhesive layer between the surface-treated anode current collector and silicon film, so as to reinforce bondability between the anode current collector and active material, ultimately leading to improvement of charge/discharge characteristics of the battery.
    Type: Grant
    Filed: December 16, 2004
    Date of Patent: April 4, 2017
    Assignee: LG CHEM, LTD.
    Inventors: Sung-Man Lee, Seo-Jae Lee
  • Patent number: 9607837
    Abstract: A method for protecting a doped silicate glass layer includes: forming a doped silicate glass layer on a substrate in a reaction chamber by plasma-enhanced atomic layer deposition (PEALD) using a first RF power; and forming a non-doped silicate glass layer having a thickness of less than 4 nm on the doped silicate glass layer in the reaction chamber, without breaking vacuum, by plasma-enhanced atomic layer deposition (PEALD) using a second RF power, wherein the second RF power is at least twice the first RF power.
    Type: Grant
    Filed: December 21, 2015
    Date of Patent: March 28, 2017
    Assignee: ASM IP Holding B.V.
    Inventor: Kunitoshi Namba
  • Patent number: 9607882
    Abstract: A semiconductor device includes metal wirings formed in a first interlayer dielectric layer disposed over a substrate, a first insulating layer covering portions of the metal wirings and the first interlayer dielectric layer, a second interlayer dielectric layer with air gaps disposed in a recess between adjacent two metal wirings, and a protective layer formed in a portion of an upper surface of the first interlayer dielectric layer, where the recess is not formed.
    Type: Grant
    Filed: August 31, 2015
    Date of Patent: March 28, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventor: Hsiang-Wei Lin
  • Patent number: 9583332
    Abstract: Implementations described herein generally relate to methods for dielectric gap-fill. In one implementation, a method of depositing a silicon oxide layer on a substrate is provided. The method comprises introducing a cyclic organic siloxane precursor and an aliphatic organic siloxane precursor into a deposition chamber, reacting the cyclic organic siloxane precursor and the aliphatic organic siloxane precursor with atomic oxygen to form the silicon oxide layer on a substrate positioned in the deposition chamber, wherein the substrate is maintained at a temperature between about 0° C. and about 200° C. as the silicon oxide layer is formed, wherein the silicon oxide layer is initially flowable following deposition, and wherein a ratio of a flow rate of the cyclic organic siloxane precursor to a flow rate of the aliphatic organic siloxane precursor is at least 2:1 and curing the deposited silicon oxide layer.
    Type: Grant
    Filed: January 6, 2015
    Date of Patent: February 28, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Pramit Manna, Kiran V. Thadani, Abhijit Basu Mallick
  • Patent number: 9567671
    Abstract: Method of depositing an atomic layer on a substrate. The method comprises supplying a precursor gas from a precursor-gas supply of a deposition head that may be part of a rotatable drum. The precursor gas is provided from the precursor-gas supply towards the substrate. The method further comprises moving the precursor-gas supply by rotating the deposition head along the substrate which in its turn is moved along the rotating drum. The method further comprises switching between supplying the precursor gas from the precursor-gas supply towards the substrate over a first part of the rotation trajectory; and interrupting supplying the precursor gas from the precursor-gas supply over a second part of the rotation trajectory.
    Type: Grant
    Filed: July 30, 2012
    Date of Patent: February 14, 2017
    Assignee: Nederlandse Organisatie voor toegepast-natuurwetenschappelijk onderzoek TNO
    Inventors: Raymond Jacobus Wilhelmus Knaapen, Ruud Olieslagers, Dennis Van Den Berg, Matijs C. Van Den Boer, Diederik Jan Maas, Jacques Cor Johan Van Der Donck, Freddy Roozeboom
  • Patent number: 9553102
    Abstract: Methods of selectively etching tungsten from the surface of a patterned substrate are described. The etch electrically separates vertically arranged tungsten slabs from one another as needed, for example, in the manufacture of vertical flash memory devices. The tungsten etch may selectively remove tungsten relative to films such as silicon, polysilicon, silicon oxide, aluminum oxide, titanium nitride and silicon nitride. The methods include exposing electrically-shorted tungsten slabs to remotely-excited fluorine formed in a capacitively-excited chamber plasma region. The methods then include exposing the tungsten slabs to remotely-excited fluorine formed in an inductively-excited remote plasma system. A low electron temperature is maintained in the substrate processing region during each operation to achieve high etch selectivity.
    Type: Grant
    Filed: August 19, 2014
    Date of Patent: January 24, 2017
    Assignee: Applied Materials, Inc.
    Inventors: Xikun Wang, Jie Liu, Anchuan Wang, Nitin K. Ingle
  • Patent number: 9527069
    Abstract: The invention relates to a method for cold gas spraying in which a spray powder containing photocatalytically active spray particles is accelerated by means of a carrier gas in a nozzle and forms a coating upon striking a substrate. According to the invention, the method is characterized in that at least one part of the photocatalytically active spray particles consists of nanocrystalline agglomerates having a porosity of 200 to 800 m2/g, the porosity being determined by means of a BHT measurement with nitrogen. Coatings produced with the method according to the invention and objects having such a coating are also claimed.
    Type: Grant
    Filed: January 17, 2013
    Date of Patent: December 27, 2016
    Assignee: Linde Aktiengesellschaft
    Inventors: Peter Heinrich, Werner Krömmer, Frank Gärtner, Thomas Klassen, Jan-Oliver Kliemann, Henning Gutzmann, Motohiro Yamada
  • Patent number: 9478417
    Abstract: Provided is a technique of forming a film on a substrate by performing a cycle a predetermined number of times. The cycle includes: forming a first layer by supplying a gas containing a first element to the substrate, wherein the first layer is a discontinuous layer, a continuous layer, or a layer in which at least one of the discontinuous layer or the continuous layer is overlapped; forming a second layer including the first layer and a discontinuous layer including a second element stacked on the first layer; and forming a third layer by supplying a gas containing a third element to the substrate to modify the second layer under a condition where a modifying reaction of the second layer by the gas containing the third element is not saturated.
    Type: Grant
    Filed: March 28, 2016
    Date of Patent: October 25, 2016
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Yushin Takasawa, Hajime Karasawa, Yoshiro Hirose
  • Patent number: 9469938
    Abstract: Disclosed herein is a textile using light. The textile unit comprises a heating unit having a shape of dot or stripe on a surface of a fabric and non-heating unit being not overlapped with the heating unit. The heating unit is formed by coating carbon nanotube (CNT) or group-4 metal carbide in a shape of dot or stripe. The heating textile sheet using light according to the present invention has excellent heat efficiency by converting light such as solar cell into thermal energy.
    Type: Grant
    Filed: August 12, 2013
    Date of Patent: October 18, 2016
    Assignee: VENTEX CO., LTD.
    Inventors: Kung Chan Ko, Gwang Wung Yang, Yong Hwan Rho, Eun Ho Park
  • Patent number: 9447287
    Abstract: Described herein are compositions for depositing a carbon-doped silicon containing film wherein the composition comprises a first precursor comprising at least one compound selected from the group consisting of: an organoaminoalkylsilane having a formula of R5Si(NR3R4)xH3?x wherein x=1, 2, 3; an organoalkoxyalkylsilane having a formula of R6Si(OR7)xH3?x wherein x=1, 2, 3; an organoaminosilane having a formula of R8N(SiR9(NR10R11)H)2; an organoaminosilane having a formula of R8N(SiR9LH)2 and combinations thereof; and optionally a second precursor comprising a compound having the formula: Si(NR1R2)H3. Also described herein are methods for depositing a carbon-doped silicon-containing film using the composition wherein the method is one selected from the following: cyclic chemical vapor deposition (CCVD), atomic layer deposition (ALD), plasma enhanced ALD (PEALD) and plasma enhanced CCVD (PECCVD).
    Type: Grant
    Filed: June 1, 2012
    Date of Patent: September 20, 2016
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Manchao Xiao, Xinjian Lei, Ronald Martin Pearlstein, Haripin Chandra, Eugene Joseph Karwacki, Jr., Bing Han, Mark Leonard O'Neill
  • Patent number: 9443719
    Abstract: Provided is a technique of forming a film on a substrate by performing a cycle a predetermined number of times. The cycle includes: forming a first layer by supplying a gas containing a first element to the substrate, wherein the first layer is a discontinuous layer, a continuous layer, or a layer in which at least one of the discontinuous layer or the continuous layer is overlapped; forming a second layer including the first layer and a discontinuous layer including a second element stacked on the first layer; and forming a third layer by supplying a gas containing a third element to the substrate to modify the second layer under a condition where a modifying reaction of the second layer by the gas containing the third element is not saturated.
    Type: Grant
    Filed: March 28, 2016
    Date of Patent: September 13, 2016
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Yushin Takasawa, Hajime Karasawa, Yoshiro Hirose
  • Patent number: 9394609
    Abstract: Methods are provided for depositing thin films by vapor deposition using two different metal halide reactants. In some embodiments aluminum fluoride thin films are deposited by atomic layer deposition methods in which a substrate is alternately and sequentially contacted with a first metal halide reactant comprising aluminum, such as AlCl3, and a second metal halide reactant comprising fluorine, such as TiF4.
    Type: Grant
    Filed: February 12, 2015
    Date of Patent: July 19, 2016
    Assignee: ASM IP HOLDING B.V.
    Inventors: Miia Mäntymäki, Mikko Ritala, Markku Leskelä
  • Patent number: 9371585
    Abstract: The method and device are used to plasma-treat workpieces. The workpiece is inserted into a chamber of a treatment station that can be at least partially evacuated. The plasma chamber is bounded by a chamber bottom, a chamber cover, and a lateral chamber wall. The method process is optically monitored at least at times. In the optical monitoring, spectral lines of the radiation of the plasma above 500 nanometers are evaluated. Preferably, the evaluation is performed for frequencies above 700 nanometers.
    Type: Grant
    Filed: March 3, 2011
    Date of Patent: June 21, 2016
    Assignee: KHS CORPOPLAST GMBH
    Inventors: Sönke Siebels, Sebastian Kytzia