Plasma (e.g., Corona, Glow Discharge, Cold Plasma, Etc.) Patents (Class 427/569)
  • Patent number: 9284642
    Abstract: A method for forming an oxide film by plasma-assisted processing includes: (i) supplying a precursor reactive to none of oxygen, CxOy, and NxOy (x and y are integers) without a plasma to a reaction space wherein a substrate is placed; (ii) exposing the precursor to a plasma of CxOy and/or NxOy in the reaction space; and (iii) forming an oxide film on the substrate using the precursor and the plasma.
    Type: Grant
    Filed: September 19, 2013
    Date of Patent: March 15, 2016
    Assignee: ASM IP Holding B.V.
    Inventors: Ryu Nakano, Naoki Inoue
  • Patent number: 9280249
    Abstract: A position detecting method for a touchscreen panel includes the steps of (a) determining the presence or absence of contact with the touchscreen panel on a conductive film divided into multiple conductive regions; (b) measuring a time after the detection of the absence of the contact and determining whether the measured time is less than a predetermined time if step (a) determines the absence of the contact; and (c) determining the continuance of the contact if the measured time is less than the predetermined time.
    Type: Grant
    Filed: April 14, 2010
    Date of Patent: March 8, 2016
    Assignee: FUJITSU COMPONENT LIMITED
    Inventors: Koichi Kondoh, Takashi Nakajima, Nobuyoshi Shimizu, Masanobu Hayama, Norio Endo, Daisuke Ichikawa
  • Patent number: 9269528
    Abstract: A method of setting up a medium current ribbon beam for ion implantation is provided. It includes providing an ion source fed with a process gas and a support gas. The process ion beam is separated from the support gas beam with a mass analyzing magnet, and the intensity of the process ion beam is controlled by varying the ratio of process gas to support gas in the ion source gas feed. Process beam intensity may also be controlled with one or more mechanical current limiting devices located downstream of the ion source. An ion beam system is also provided. This method may control the total ribbon beam intensity at the target between approximately 3 uA to about 3 mA.
    Type: Grant
    Filed: September 18, 2014
    Date of Patent: February 23, 2016
    Assignee: ADAVANCED ION BEAM TECHNOLOGY, INC.
    Inventors: Robert Kaim, Charles M. Free, David Hoglund, Wilhelm P. Platow, Kourosh Saadatmand
  • Patent number: 9255329
    Abstract: The present invention relates to a cyclic deposition process suitable for depositing an elemental film. The process employs an enhanced atomic layer deposition technique.
    Type: Grant
    Filed: July 28, 2009
    Date of Patent: February 9, 2016
    Assignee: Novellus Systems, Inc.
    Inventors: Tony P. Chiang, Karl Leeser
  • Patent number: 9219151
    Abstract: A method for manufacturing a silicon nitride layer and a method for manufacturing a semiconductor structure applying the same are provided. The method for manufacturing a silicon nitride layer includes forming the silicon nitride layer and stressing the silicon nitride layer by a high density plasma chemical vapor deposition (HDPCVD) treatment.
    Type: Grant
    Filed: September 4, 2014
    Date of Patent: December 22, 2015
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Wei-Hsin Liu, Tzu-Chin Wu
  • Patent number: 9190302
    Abstract: A system and method for controlling plasma. The system includes a semiconductor chamber comprising a powered electrode, another electrode, and an adjustable coupling to ground circuit. The powered electrode is configured to receive a wafer or substrate. There is at least one grounded electrode configured to generate an electrical connection with the powered electrode. At least one of the grounded electrodes is electrically coupled to the adjustable coupling to ground circuit. The adjustable coupling to ground circuit is configured to modify the impedance of the grounded electrode. The ion energy of the plasma is controlled by the adjustable coupling to ground circuit.
    Type: Grant
    Filed: July 26, 2013
    Date of Patent: November 17, 2015
    Assignee: Lam Research Corporation
    Inventors: Tuqiang Ni, Wenli Collison
  • Patent number: 9177786
    Abstract: A method of manufacturing a semiconductor device includes forming a thin film on a substrate by performing a cycle a predetermined number of times. The cycle includes supplying a source gas to the substrate, and supplying excited species from each of a plurality of excitation units provided at a side of the substrate to the substrate. Each of the plurality of excitation units generates the excited species by plasma-exciting a reaction gas. In supplying the excited species from each of the plurality of excitation units, an in-plane distribution of the excited species supplied from at least one of the plurality of excitation units in the substrate differs from an in-plane distribution of the excited species supplied from another excitation unit, other than the at least one excitation unit, among the plurality of excitation units, in the substrate.
    Type: Grant
    Filed: March 18, 2013
    Date of Patent: November 3, 2015
    Assignee: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Atsushi Sano, Yoshiro Hirose, Kiyohiko Maeda, Kazuyuki Okuda, Ryuji Yamamoto
  • Patent number: 9166033
    Abstract: High electron mobility transistors are provided that include a non-uniform aluminum concentration AlGaN based cap layer having a high aluminum concentration adjacent a surface of the cap layer that is remote from the barrier layer on which the cap layer is provided. High electron mobility transistors are provided that include a cap layer having a doped region adjacent a surface of the cap layer that is remote from the barrier layer on which the cap layer is provided. Graphitic BN passivation structures for wide bandgap semiconductor devices are provided. SiC passivation structures for Group III-nitride semiconductor devices are provided. Oxygen anneals of passivation structures are also provided. Ohmic contacts without a recess are also provided.
    Type: Grant
    Filed: October 17, 2008
    Date of Patent: October 20, 2015
    Assignee: Cree, Inc.
    Inventors: Adam William Saxler, Scott Sheppard, Richard Peter Smith
  • Patent number: 9151875
    Abstract: A touch panel which prevents Newton ring formation and glare, shows high transmission image clarity, prevents haze and has high visibility, and an optical film which is applicable to such a touch panel. An optical film comprising an optically-transparent substrate and at least one hard coat layer (A) disposed on a surface of the optically-transparent substrate, wherein a surface of the hard coat layer (A), which is opposite to a surface where the optically-transparent substrate is present, has an arithmetic mean roughness (Ra) defined in JIS B0601 (1994) of 0.025 to 0.05 ?m, and has 10 to 250 convex portions each having a height of 0.3 to 3 ?m in a 1.08 mm square area.
    Type: Grant
    Filed: November 29, 2010
    Date of Patent: October 6, 2015
    Assignee: DAI NIPPON PRINTING CO., LTD.
    Inventors: Hiroyuki Takamiya, Kenji Ueno, Seika Minakoshi
  • Patent number: 9113544
    Abstract: A method for producing hyperthermal molecular hydrogen is disclosed and use of same for selectively breaking C—H or Si—H bonds without breaking other bonds are disclosed. A hydrogen plasma is maintained and protons are extracted with an electric field to accelerate them to an appropriate kinetic energy. The protons enter into a drift zone to collide with molecular hydrogen in gas phase. The cascades of collisions produce a high flux of hyperthermal molecular hydrogen with a flux many times larger than the flux of protons extracted from the hydrogen plasma. The nominal flux ratio of hyperthermal molecular hydrogen to proton is controlled by the hydrogen pressure in the drift zone, and by the length of the drift zone. The extraction energy of the protons is shared by these hyperthermal molecules so that average energy of the hyperthermal molecular hydrogen is controlled by extraction energy of the protons and the nominal flux ratio.
    Type: Grant
    Filed: March 3, 2010
    Date of Patent: August 18, 2015
    Assignee: HL SCIENCE & TECHNOLOGY LIMITED
    Inventors: Leo W. M. Lau, De-Quan Yang, Tomas Trebicky, Heng Yong Nie
  • Patent number: 9105379
    Abstract: A method and article of manufacture of intermixed tunable resistance composite materials containing at least one of W:Al2O3, Mo:Al2O3 or M:Al2O3 where M is a conducting compound containing either W or Mo. A conducting material and an insulating material are deposited by such methods as ALD or CVD to construct composites with intermixed materials which do not have structure or properties like their bulk counterparts.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: August 11, 2015
    Assignee: UChicago Argonne, LLC
    Inventors: Jeffrey W. Elam, Anil U. Mane
  • Patent number: 9099503
    Abstract: In a plasma etching apparatus, a first high frequency for plasma generation and a second high frequency for ion attraction are respectively applied from two high frequency supplies to a susceptor. Further, DC voltage is applied from a variable DC power supply to an upper electrode via a filter circuit. An annular DC ground part attached to an upper side surface of the susceptor is connected to a filter circuit. This filter circuit allows a specific frequency component of the intermodulation distortion generated in a plasma by a series resonant to selectively flow to a ground line.
    Type: Grant
    Filed: October 17, 2008
    Date of Patent: August 4, 2015
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Manabu Iwata
  • Patent number: 9085825
    Abstract: A deposition apparatus and a method of depositing a thin film using the same are provided. By maintaining pressure of an external chamber between a reaction space and an outer wall slightly lower than pressure of the reaction space by supplying a charge gas to an external chamber of a space between the reaction space and an outer wall, parasitic plasma can be prevented from being generated within the external chamber. When loading or unloading a substrate, a charge gas of the external chamber can be prevented from flowing backward to the reaction space, and by supplying nitrogen gas as a charge gas, even if high plasma power is supplied, parasitic plasma can be effectively prevented from being generated in the external chamber.
    Type: Grant
    Filed: September 9, 2013
    Date of Patent: July 21, 2015
    Assignee: ASM IP HOLDING B.V.
    Inventor: Ki Jong Kim
  • Patent number: 9076888
    Abstract: Methods and structures are provided for full silicidation of recessed silicon. Silicon is provided within a trench. A mixture of metals is provided over the silicon in which one of the metals diffuses more readily in silicon than silicon does in the metal, and another of the metals diffuses less readily in silicon than silicon does in the metal. An exemplary mixture includes 80% nickel and 20% cobalt. The silicon within the trench is allowed to fully silicide without void formation, despite a relatively high aspect ratio for the trench. Among other devices, recessed access devices (RADs) can be formed by the method for memory arrays.
    Type: Grant
    Filed: December 21, 2006
    Date of Patent: July 7, 2015
    Assignee: Micron Technology, Inc.
    Inventors: Hasan Nejad, Thomas A. Figura, Gordon A. Haller, Ravi Iyer, John Mark Meldrim, Justin Harnish
  • Patent number: 9064807
    Abstract: The present disclosure relates to a method and apparatus for performing a dry plasma procedure, while mitigating internal contamination of a semiconductor substrate. In some embodiments, the apparatus includes a semiconductor processing tool having a dry process stage with one or more dry process elements that perform a dry plasma procedure on a semiconductor substrate received from an input port. A wafer transport system transports the semiconductor substrates from the dry process stage to a wet cleaning stage located downstream of the dry process stage. The wet cleaning stage has one or more wet cleaning elements that perform a wet cleaning procedure to remove contaminants from a surface of the semiconductor substrates before the semiconductor substrate is provided to an output port. The wet cleaning procedure prior removes internal contaminants of the dry process procedure from the semiconductor substrate and thereby improves wafer manufacturing quality.
    Type: Grant
    Filed: February 27, 2013
    Date of Patent: June 23, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Shao-Yen Ku, Tsai-Pao Su, Wen-Chang Tsai, Chia-Wen Li, Yu-Yen Hsu
  • Publication number: 20150147483
    Abstract: A method for forming a film on a patterned surface of a substrate by atomic layer deposition (ALD) processing includes: adsorbing onto a patterned surface a first precursor containing silicon or metal in its molecule; adsorbing onto the first-precursor-adsorbed surface a second precursor containing no silicon or metal in its molecule; exposing the second-precursor-adsorbed surface to an excited reactant to oxidize, nitride, or carbonize the precursors adsorbed on the surface of the substrate; and repeating the above cycle to form a film on the patterned surface of the substrate.
    Type: Application
    Filed: November 26, 2013
    Publication date: May 28, 2015
    Applicant: ASM IP Holding B.V.
    Inventor: Atsuki Fukazawa
  • Publication number: 20150144596
    Abstract: Methods for regulating ion energies in a plasma chamber are disclosed. An exemplary method includes placing a substrate in a plasma chamber, forming a plasma in the plasma chamber, controllably switching power to the substrate so as to apply a periodic voltage function to the substrate, and modulating, over multiple cycles of the periodic voltage function, the periodic voltage function responsive to a desired distribution of energies of ions at the surface of the substrate so as to effectuate the desired distribution of ion energies on a time-averaged basis.
    Type: Application
    Filed: January 27, 2015
    Publication date: May 28, 2015
    Inventors: Victor Brouk, Randy Heckman, Daniel J. Hoffman
  • Publication number: 20150147487
    Abstract: A method for forming an organic monolayer includes supplying to an object an organic material gas including organic molecules, each molecule having a binding site that is to be chemically bonded to a surface of the object. The method further includes supplying excited hydrogen to the organic material gas before the organic material gas reaches the object to substitute an end of the binding site with hydrogen, and forming an organic monolayer by reaction between the end substituted with the hydrogen and the object.
    Type: Application
    Filed: November 25, 2014
    Publication date: May 28, 2015
    Inventors: Takashi FUSE, Tomohito MATUO, Hidetoshi KINOSHITA
  • Publication number: 20150147488
    Abstract: A plasma enhanced vapor deposition apparatus includes a process chamber including a first space and a second space, a substrate holder provided in the first space and supporting a substrate, a plasma generating device combined to the process chamber and inducing plasma in the second space, an ion species screening member separating the first and second spaces from each other and filtering ion species to prevent the ion species from diffusing from the second space to the first space, a first gas supplier supplying a first process gas including a precursor gas into the first space, wherein the precursor gas includes atoms constituting a material layer deposited over the substrate, a second gas supplier supplying a second process gas including a reactive gas into the second space, and a gas discharger coupled to the process chamber and inducing a gas flow from the second space to the first space.
    Type: Application
    Filed: November 26, 2014
    Publication date: May 28, 2015
    Inventors: Doo Jin CHOI, Jin Hwan JEONG, Su Bin AN
  • Patent number: 9040127
    Abstract: Methods for formation of silicon carbide on substrate are provided. Atomic layer deposition methods of forming silicon carbide are described in which a first reactant gas of the formula SinHaXb wherein n=1-5, a+b=2n+2, a>0, and X=F, Cl, Br, I; and a second reactant gas of the formula MR3-bYb, wherein R is a hydrocarbon containing substituent, Y is a halide, hydride or other ligand and b=1-3 are sequentially deposited on a substrate and then exposed to a plasma. The process can be repeated multiple times to deposit a plurality of silicon carbide layers.
    Type: Grant
    Filed: July 25, 2011
    Date of Patent: May 26, 2015
    Assignee: Applied Materials, Inc.
    Inventor: David Thompson
  • Patent number: 9040126
    Abstract: A fabrication of a zeolite composite film includes mixing a composition of water, aluminum isopropoxide, TMAOH, and TEOS according to a set ratio, followed by stirring and heating to obtain a mixture; performing a centrifugation on the mixture to obtain an upper layer suspension; preparing a mesoporous particle suspension that includes a plurality of mesoporous particles, and each mesoporous particle includes a plurality of templating agents; vaporizing a mixture suspension formed from both the upper layer suspension and the mesoporous particle suspension to form a plurality of vaporized droplets; depositing the vaporized droplets on a heated substrate while removing the templating agents to form the zeolite composite film with a plurality of macroporous, mesoporous and microporous structures.
    Type: Grant
    Filed: August 20, 2013
    Date of Patent: May 26, 2015
    Assignee: I-SHOU UNIVERSITY
    Inventor: Chiung-Fang Lin
  • Patent number: 9040125
    Abstract: A magnetic data storage medium may include a substrate, a magnetic recording layer, a protective carbon overcoat, and a monolayer covalently bound to carbon atoms adjacent a surface of the protective carbon overcoat. According to this aspect of the disclosure, the monolayer comprises at least one of hydrogen, fluorine, nitrogen, oxygen, and a fluoro-organic molecule. In some embodiments, a surface of a read and recording head may also include a monolayer covalently bound to carbon atoms of a protective carbon overcoat.
    Type: Grant
    Filed: July 24, 2013
    Date of Patent: May 26, 2015
    Assignee: Seagate Technology LLC
    Inventors: Paul M. Jones, Xiaoping Yan, Lei Li, James Dillon Kiely, Christopher Loren Platt, Michael J. Stirniman, Jiping Yang, Yiao-Tee Hsia
  • Publication number: 20150135993
    Abstract: A method of treating particles by disaggregating, deagglomerating, exfoliating, cleaning, functionalising, doping, decorating and/or repairing said particles, in which the particles are subjected to plasma treatment in a treatment chamber containing a plurality of electrodes which project therein and wherein plasma is generated by said electrodes which are moved during the plasma treatment to agitate the particles.
    Type: Application
    Filed: November 12, 2014
    Publication date: May 21, 2015
    Inventors: John Buckland, Dylan Walters
  • Publication number: 20150136735
    Abstract: To provide a plasma processing device and a plasma processing method capable of performing high-speed processing. In an inductively-coupled plasma torch unit, a coil, a lid and a first ceramic block are bonded together, and a long chamber has an annular shape. Plasma generated in the chamber is ejected from an opening in the chamber toward a substrate. The substrate is processed by moving the long chamber and the substrate mounting table relatively in a direction perpendicular to a longitudinal direction of the opening. The first ceramic block is cooled efficiently by allowing a refrigerant to flow in a refrigerant flow path.
    Type: Application
    Filed: July 22, 2014
    Publication date: May 21, 2015
    Inventor: TOMOHIRO OKUMURA
  • Publication number: 20150140231
    Abstract: The present invention is a method and apparatus for applying coatings in a rarefied gaseous medium. A cold cathode electron gun is used to generate an electron beam, which is directed to a crucible containing initial solid materials in a vacuum chamber, thus generating an initial solid material vapor. Nitrogen reaction gas is bled into the vacuum chamber, and ionization of the nitrogen gas in high frequency discharge. Subsequent interaction of initial material vapor with nitrogen ions and atoms results in generation of solid product heating of the substrate. Condensation of the vapor on the surface of substrate generates a thin film of solid electrode or electrolyte. The resulting rate of deposition of thin film of vitreous solid electrolyte and LiPon solid electrolyte is substantially higher than can be achieved with a magnetron sputtering process.
    Type: Application
    Filed: October 20, 2014
    Publication date: May 21, 2015
    Inventors: Elena M Shembel, Valetiy Tutyk, Volodymyr I Redko, Alexandr Markevich, Tymofiy Pastushkin, Irina M Maksyuta
  • Publication number: 20150140232
    Abstract: A system and method A method of growing an elongate nanoelement from a growth surface includes: (a) cleaning a growth surface on a base element; (b) providing an ultrahigh vacuum reaction environment over the cleaned growth surface; (c) generating a reactive gas of an atomic material to be used in forming the nanoelement; (d) projecting a stream of the reactive gas at the growth surface within the reactive environment while maintaining a vacuum of at most 1×10?4 Pascal; (e) growing the elongate nanoelement from the growth surface within the environment while maintaining the pressure of step c); (f) after a desired length of nanoelement is attained within the environment, stopping direction of reactive gas into the environment; and (g) returning the environment to an ultrahigh vacuum condition.
    Type: Application
    Filed: January 26, 2015
    Publication date: May 21, 2015
    Inventors: Biswajit Das, Myung B. Lee
  • Publication number: 20150135957
    Abstract: A semipermeable gas separation membrane is plasma deposited from liquid organosiloxane monomer having at least three silicon atoms and an alpha hydrogen atom. The semipermeable membrane may be employed as a gas-selective membrane in combination with a porous substrate.
    Type: Application
    Filed: November 19, 2013
    Publication date: May 21, 2015
    Applicant: Applied Membrane Technology, inc.
    Inventor: Ashok K. Sharma
  • Publication number: 20150132504
    Abstract: This invention is about a method for fabricating carbon molecular sieve membrane. The above method comprises a step of deposition, and a step of carbonization to obtain a high performance and high selectivity carbon molecular sieve membrane. According to this invention, an ultra-thin and defects free carbon molecular sieve membrane can be obtained. More preferably, the method for fabricating carbon molecular sieve membrane of this invention is easy operating, economic, and environmental friendly.
    Type: Application
    Filed: November 13, 2013
    Publication date: May 14, 2015
    Applicant: CHUNG-YUAN CHRISTIAN UNIVERSITY
    Inventors: Jung-Tsai Chen, Chien-Chieh Hu, Kueir-Rarn Lee, Juin-Yih Lai
  • Publication number: 20150132505
    Abstract: A plasma processing apparatus is provided. According to the apparatus, a main antenna connected to a high frequency power source and an auxiliary antenna electrically insulated from main antenna is arranged. Moreover, projection areas when the main antenna and the auxiliary antenna are seen in a plan view are arranged so as not to overlap with each other. More specifically, the auxiliary antenna is arranged on a downstream side in a rotational direction of the turntable relative to the main antenna. Then, a first electromagnetic field is generated in the auxiliary antenna by way of an induction current flowing through the main antenna, and a second induction plasma is generated even in an area under the auxiliary antenna in addition to an area under the main antenna by resonating the auxiliary antenna.
    Type: Application
    Filed: November 12, 2014
    Publication date: May 14, 2015
    Inventors: Hitoshi KATO, Shigehiro MIURA, Chishio KOSHIMIZU, Jun YAMAWAKU, Yohei YAMAZAWA
  • Publication number: 20150132539
    Abstract: A coated device comprising a body, a coating on at least a portion of a surface of the body, wherein the coating comprises, a terminal layer, and at least one underlayer positioned between the terminal layer and the body, the underlayer comprising a hardness of greater than 61 HRc, wherein prior to the addition of the terminal layer, at least one of the body and the underlayer is polished to a surface roughness of less than or equal to 1.0 micrometer Ra.
    Type: Application
    Filed: August 28, 2014
    Publication date: May 14, 2015
    Inventors: Jeffrey R. Bailey, Srinivasan Rajagopalan, Mehmet Deniz Ertas, Adnan Ozekcin, Bo Zhao
  • Patent number: 9029264
    Abstract: Methods of depositing a tin-containing layer on a substrate are disclosed herein. In some embodiments, a method of depositing a tin-containing layer on a substrate may include flowing a tin source comprising a tin halide into a reaction volume; flowing a hydrogen plasma into the reaction volume; forming one or more tin hydrides within the reaction volume from the tin source and the hydrogen plasma; and depositing the tin-containing layer on a first surface of the substrate using the one or more tin hydrides.
    Type: Grant
    Filed: February 27, 2013
    Date of Patent: May 12, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Errol Antonio C. Sanchez, Yi-Chiau Huang
  • Patent number: 9028925
    Abstract: A product having a functional layer and a method for fabricating the same. A method for fabricating a product having a functional layer includes the step of conducting a plasma reaction with titanium and silicon precursor compounds to form a coating on a substrate, such as a heat exchanger surface.
    Type: Grant
    Filed: December 9, 2011
    Date of Patent: May 12, 2015
    Assignee: LG Electronics Inc.
    Inventors: Jinhyouk Shin, MoonKap Lee, Junggeun Oh, Jeonggyu Kim
  • Publication number: 20150125627
    Abstract: A radical reactor including an elongated structure received within a chamber of a body of the radical reactor. Radicals are generated within a radical chamber formed in the elongated structure by applying a voltage signal across the elongated structure and an electrode extending within the radical chamber. The radicals generated in the radical chamber are routed via a discharge port of the elongated structure and a conduit formed in the body of the radical reactor onto the substrate.
    Type: Application
    Filed: April 29, 2013
    Publication date: May 7, 2015
    Inventors: Daniel Ho Lee, Samuel S. Pak, Hyoseok Yang, Sang In Lee
  • Publication number: 20150125640
    Abstract: A manufacturing method that forms a multilayer thin film on the inner surface of a housing forming a transparent appearance of an electronic product to provide a deep metal texture and an electronic product having a metal texture provided at the inner surface of the housing. The multilayer thin film manufacturing method includes reforming an inner surface of a housing having an outer surface and the inner surface through plasma processing, depositing at least one hardness reinforcement layer on the inner surface, and depositing a color layer on the hardness reinforcement layer.
    Type: Application
    Filed: October 31, 2014
    Publication date: May 7, 2015
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Seo Joon LEE, Jin Sub KIM, Hyong Jun YOO, Min Chul JUNG, Jin Hyun CHO
  • Publication number: 20150122775
    Abstract: A processing kit for a plasma processing chamber. The processing kit includes a plurality of ceramic arc-shaped pieces. Each arc-shaped piece has a concave first end and a convex second end and the first end of each arc-shaped piece is configured to mate with an adjacent end of a neighboring arc-shaped piece to form a ring shaped inner isolator.
    Type: Application
    Filed: October 23, 2014
    Publication date: May 7, 2015
    Inventor: Ramprakash SANKARAKRISHNAN
  • Publication number: 20150125629
    Abstract: A method of depositing a thin film includes: repeating a first gas supply cycle a first plurality of times, the first gas supply cycle including supplying a source gas to a reaction space; supplying first plasma while supplying a reactant gas to the reaction space; repeating a second gas supply cycle a second plurality of times, the second gas supply cycle including supplying the source gas to the reaction space; and supplying second plasma while supplying the reactant gas to the reaction space, wherein the supplying of the first plasma includes supplying remote plasma, and the supplying of the second plasma includes supplying direct plasma.
    Type: Application
    Filed: October 29, 2014
    Publication date: May 7, 2015
    Inventors: Young Hoon KIM, Dae Youn Kim, Sang Wook Lee
  • Publication number: 20150125620
    Abstract: The present invention relates to a separator plate for a fuel cell and to a method for producing the same, and relates to an invention wherein a surface-modification layer is formed through the use of low temperature plasma processing such that it is possible to prevent the hydrophobic characteristics which occur during gasket forming and to have outstanding hydrophilic characteristics, and such that it is possible to obtain the advantageous effect of highly outstanding corrosion resistance and electrical conductivity not only initially but also even after long-term use in a fuel-cell operating environment, and also such that it is possible to maintain outstanding durability even when using a normal low-price stainless-steel sheet base material, and it is possible to reduce the unit cost of production of the separator plate for the fuel cell since surface processing can be carried out at low cost.
    Type: Application
    Filed: January 13, 2015
    Publication date: May 7, 2015
    Inventors: Yoo-Taek JEON, Eun-Young KIM, Man-Bean MOON
  • Publication number: 20150125356
    Abstract: Disclosed herein is a plasma generating apparatus capable of sufficiently performing a deodorization function and a sterilization function by increasing a generation amount of ions or radicals while suppressing generation of ozone. The plasma generating apparatus has a pair of electrodes (21 and 22) provided with dielectric films (21a and 22a) and serves to apply a predetermined voltage between the electrodes (21 and 22) to discharge plasma, fluid circulation holes (21b and 22b) are respectively provided at corresponding positions of the respective electrodes (21 and 22) and pass through the electrodes, and plasma is generated only in opening end portions (21x and 22x) forming the fluid circulation holes (21b and 22b) between the pair of electrodes (21 and 22).
    Type: Application
    Filed: December 7, 2012
    Publication date: May 7, 2015
    Inventors: Makoto Miyamoto, Kazutoshi Takenoshita, Yukika Yamada, Yoshitaka Terao, Nobutake Hirai
  • Publication number: 20150125628
    Abstract: Disclosed is a method of depositing a thin film, which includes supplying a purge gas and a source gas into a plurality of reactors for a first period, stopping supplying of the source gas, and supplying the purge gas and a reaction gas into the plurality of reactors for a second period, and supplying the reaction gas and plasma into the plurality of reactors for a third period.
    Type: Application
    Filed: May 23, 2014
    Publication date: May 7, 2015
    Applicant: ASM IP Holding B.V.
    Inventors: Dae Youn KIM, Seung Woo CHOI, Young Hoon KIM, Seiji OKURA, Hyung Wook NOH, Dong Seok KANG
  • Patent number: 9023437
    Abstract: A ceramic material is applied to a part. The part is placed in a deposition chamber and a first electric potential is applied to the part. Components are evaporated for forming the material. The evaporated components are ionized. The first electric potential is modulated so as to draw the ionized component to the part. The modulation comprises maintaining at least an ion current density in a range of 2-1000 mA/cm2.
    Type: Grant
    Filed: May 15, 2012
    Date of Patent: May 5, 2015
    Assignee: United Technologies Corporation
    Inventors: Igor V. Belousov, Yuriy G. Kononenko, Anatoly Kuzmichev, John F. Mullooly, Jr.
  • Patent number: 9023438
    Abstract: Apparatus and methods for depositing materials on a plurality of site-isolated regions on a substrate are provided. The deposition uses PECVD or PEALD. The apparatus include an inner chamber with an aperture and barrier that can be used to isolate the regions during the deposition and prevent the remaining portions of the substrate from being exposed to the deposition process. The process parameters for the deposition process are varied among the site-isolate regions in a combinatorial manner.
    Type: Grant
    Filed: December 17, 2012
    Date of Patent: May 5, 2015
    Assignee: Intermolecular, Inc.
    Inventors: ShouQian Shao, Chi-I Lang, Jingang Su
  • Patent number: 9023227
    Abstract: Embodiments described herein generally relate to a substrate processing system and related methods, such as an etching/deposition method. The method comprises (A) depositing a protective layer on a first layer disposed on a substrate in an etch reactor, wherein a plasma source power of 4,500 Watts or greater is applied while depositing the protective layer, (B) etching the protective layer in the etch reactor, wherein the plasma source power of 4,500 Watts or greater is applied while etching the protective layer, and (C) etching the first layer in the etch reactor, wherein the plasma source power of 4,500 Watts or greater is applied while etching the first layer, wherein a time for the depositing a protective layer (A) comprises less than 30% of a total cycle time for the depositing a protective layer (A), the etching the protective layer (B), and the etching the first layer (C).
    Type: Grant
    Filed: May 25, 2012
    Date of Patent: May 5, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Jivko Dinev, Saravjeet Singh, Khalid M. Sirajuddin, Tong Liu, Puneet Bajaj, Rohit Mishra, Sonal A. Srivastava, Madhava Rao Yalamanchili, Ajay Kumar
  • Publication number: 20150118544
    Abstract: An encapsulation device comprises at least one assembly containing particles comprising at least a first material. The assembly has an open porosity. The particles: are distributed over a geometric structure that has a degree of compactness of said particles of greater than around 50% and preferably of greater than 60%, and are covered conformally by at least one layer referred to as an infiltration layer; the thickness of at least the infiltration layer closing off the porosity of the assembly comprising the particles covered by at least the layer, in the form of pores that are not connected to one another. A process for producing the encapsulation device is also provided.
    Type: Application
    Filed: October 28, 2014
    Publication date: April 30, 2015
    Inventor: Sami OUKASSI
  • Publication number: 20150118487
    Abstract: The invention describes two methods for manufacturing metal dichalcogenide materials. The invention also includes a coated dichalcogenide substrate.
    Type: Application
    Filed: October 27, 2014
    Publication date: April 30, 2015
    Inventors: Colin A. Wolden, Rachel M. Morrish
  • Publication number: 20150118415
    Abstract: A plasma processing apparatus for processing a substrate includes a turntable for orbitally revolving a substrate mounting area; a nozzle portion facing the substrate mounting area and having gas discharge ports for generating plasma; an antenna including a linear portion extending to cover a substrate passage area on a downstream side relative to the nozzle portion and a separated portion, wound around a vertical axis, and generating induction plasma in a process area to which the gas is supplied; a Faraday shield including a conductive plate provided between the antenna and the process area to cut off an electric field, and slits formed to orthogonally cross the antenna and cause a magnetic field to pass therethrough, wherein the slits are formed on aside lower than the linear portion and a portion of the conductive plate without the slits is positioned on a side lower than a curved portion.
    Type: Application
    Filed: October 15, 2014
    Publication date: April 30, 2015
    Inventors: Hitoshi KATO, Shigehiro MIURA
  • Publication number: 20150114930
    Abstract: A plasma processing method of the present disclosure includes attaching a Si-containing material or a N-containing material to an electrostatic chuck that is provided in a processing container and attached with a reaction product containing C and F, in a state where a workpiece is not mounted on the electrostatic chuck; adsorbing the workpiece by the electrostatic chuck attached with the Si-containing material or the N-containing material when the workpiece is carried into the processing container; processing the workpiece with plasma; and separating the workpiece processed with plasma from the electrostatic chuck attached with the Si-containing material or the N-containing material.
    Type: Application
    Filed: October 29, 2014
    Publication date: April 30, 2015
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Ryo NONAKA, Masanori SATO, Natsuki YABUMOTO, Takamitsu TAKAYAMA, Akitoshi HARADA, Junichi SASAKI, Hidetoshi HANAOKA
  • Publication number: 20150118414
    Abstract: A method for manufacturing an article configured to enhance the coalescence of a dispersed phase from a continuous phase in an emulsion is presented. The method includes forming a pattern of a plurality of regions on a surface of the article, wherein a portion of the plurality of regions is substantially wetting with respect to the dispersed phase, and a portion of the plurality of regions is substantially non-wetting with respect to the dispersed phase. The pattern further includes a plurality of inter-connected regions that are substantially non-wetting with respect to the dispersed phase.
    Type: Application
    Filed: October 31, 2013
    Publication date: April 30, 2015
    Applicant: General Electric Company
    Inventors: Peter Morley, Bowden Kirkpatrick, Shyam Sivaramakrishnan, Roderick Mark Lusted, Luc Stephane Leblanc
  • Patent number: 9017776
    Abstract: Embodiments of the invention provide apparatuses and methods for atomic layer deposition (ALD), such as plasma-enhanced ALD (PE-ALD). In some embodiments, a PE-ALD chamber is provided which includes a chamber lid assembly coupled with a chamber body having a substrate support therein. In one embodiment, the chamber lid assembly has an inlet manifold assembly containing an annular channel encompassing a centralized channel, wherein the centralized channel extends through the inlet manifold assembly, and the inlet manifold assembly further contains injection holes extending from the annular channel, through a sidewall of the centralized channel, and to the centralized channel.
    Type: Grant
    Filed: September 24, 2012
    Date of Patent: April 28, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Hyman W. H. Lam, Bo Zheng, Hua Ai, Michael Jackson, Xiaoxiong Yuan, Hou Gong Wang, Salvador P. Umotoy, Sang Ho Yu
  • Publication number: 20150108031
    Abstract: A barrier-film forming apparatus that forms a barrier film on an inner face of a container having a concave or convex portion as a processing target, including: a dielectric member having a cavity sized to enclose the container, an external electrode covering an outer circumference of the dielectric member, an exhaust unit installed on an end face of the external electrode on a side where a mouth of the container is located, with an insulating member interposed therebetween, and depressurizing inside of the container through an exhaust pipe, an internal electrode inserted from a side of the exhaust pipe and also serving as a gas blowout unit that blows out medium gas for generating a barrier film into the container, and an electric-field applying unit that applies an electric field for generating exhaust between the external electrode and a ground electrode.
    Type: Application
    Filed: December 22, 2014
    Publication date: April 23, 2015
    Inventors: Yuji ASAHARA, Hideo YAMAKOSHI, Minoru DANNO, Seiji GOTO, Akira SHIRAKURA, Masaki NAKAYA, Kiyoshi HIROYA
  • Publication number: 20150110973
    Abstract: A plasma processing apparatus of the present disclosure includes a processing container configured to accommodate a wafer; a placing unit provided on a bottom surface of the processing container to place the wafer thereon; a first processing gas supply pipe provided in a central portion of a ceiling of the processing container to supply a first processing gas into the processing container; a second processing gas supply pipe provided in a side wall of the processing container to supply a second processing gas into the processing container; a rectifying gas supply pipe provided in the side wall of the processing container above the second processing gas supply pipe to supply a rectifying gas downward into the processing container; and a radial line slot antenna configured to radiate microwave into the processing container.
    Type: Application
    Filed: October 20, 2014
    Publication date: April 23, 2015
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Takenao NEMOTO, Toshihisa NOZAWA