Trench Capacitor Patents (Class 438/243)
  • Patent number: 7759190
    Abstract: A fabrication method of a memory device is disclosed. A substrate having a trench is provided, comprising a trench capacitor, a conductive column, a collar dielectric layer and a top dielectric layer therein. A gate structure with spacers on sidewalls is disposed on the substrate and neighboring the trench. An opening is formed on the substrate between the collar dielectric layer and the gate structure. Next, a portion of the top dielectric layer and the collar dielectric layer is removed to expose a portion of the conductive column. An insulating layer is deposited on the gate structure and the exposed conductive column, filling the opening. The insulating layer is etched to expose a portion of the capacitor-side region of the substrate and the conductive column. A transmissive strap is formed by selective deposition, electrically connecting the capacitor-side region of the substrate and the conductive column.
    Type: Grant
    Filed: October 26, 2007
    Date of Patent: July 20, 2010
    Assignee: Nanya Technology Corporation
    Inventors: Neng-Tai Shih, Jeng-Ping Lin
  • Patent number: 7759189
    Abstract: A method of manufacturing a dual contact trench capacitor is provided. The method includes a first plate extending from a trench and isolated from a wafer body, and forming a second plate extending from the trench and isolated from the wafer body and the first plate.
    Type: Grant
    Filed: July 29, 2008
    Date of Patent: July 20, 2010
    Assignee: International Business Machines Corporation
    Inventors: Timothy W. Kemerer, Jenifer E. Lary, James S. Nakos, Steven M. Shank
  • Patent number: 7750388
    Abstract: The present invention relates to a semiconductor device that contains a trench metal-insulator-metal (MIM) capacitor and a field effect transistor (FET), and a design structure including the semiconductor device embodied in a machine readable medium. The trench MIM capacitor comprises a first metallic electrode layer located over interior walls of a trench in a substrate, a dielectric layer located in the trench over the first metallic electrode layer, and a second metallic electrode layer located in the trench over the dielectric layer. The FET comprises a source region, a drain region, a channel region between the source and drain regions, and a gate electrode over the channel region. The trench MIM capacitor is connected to the FET by a metallic strap.
    Type: Grant
    Filed: December 20, 2007
    Date of Patent: July 6, 2010
    Assignee: International Business Machines Corporation
    Inventors: Herbert L. Ho, Subramanian S. Iyer, Vidhya Ramachandran
  • Patent number: 7749835
    Abstract: A semiconductor structure is described. The structure includes a trench opening formed in a semiconductor substrate having a semiconductor-on-insulator (SOI) layer and a buried insulating (BOX) layer; and a filling material formed in the trench opening, the filling material forming a “V” shape within the trench memory cell, wherein the “V” shape includes a top portion substantially adjacent to a top surface of the BOX layer. A method of fabricating the semiconductor structure is also described. The method includes forming a trench opening in a semiconductor substrate having an SOI layer and a BOX layer; laterally etching the BOX layer such that a portion of the trench opening associated with the BOX layer is substantially greater than a portion of the trench opening associated with the SOI layer; filling the trench opening with a filling material; and recessing the filling material.
    Type: Grant
    Filed: March 14, 2008
    Date of Patent: July 6, 2010
    Assignee: International Business Machines Corporation
    Inventors: Xi Li, Kangguo Cheng, Johnathan Faltermeier
  • Publication number: 20100155801
    Abstract: An integrated circuit includes a semiconducting substrate (110), electrically conductive layers (120) over the semiconducting substrate, and a capacitor (130) at least partially embedded within the semiconducting substrate such that the capacitor is entirely underneath the electrically conductive layers. A storage node voltage is on an outside layer (132) of the capacitor. In the same or another embodiment, the integrated circuit may act as a 1T-1C embedded memory cell including the semiconducting substrate, an electrically insulating stack (160) over the semiconducting substrate, a transistor (140) including a source/drain region (142) within the semiconducting substrate and a gate region (141) above the semiconducting substrate, a trench (111) extending through the electrically insulating layers and into the semiconducting substrate, a first electrically insulating layer (131) located within the trench, and the capacitor located within the trench interior to the first electrically insulating layer.
    Type: Application
    Filed: December 22, 2008
    Publication date: June 24, 2010
    Inventors: Brian S. Doyle, Dinesh Somasekhar, Gilbert Dewey, Satyarth Suri
  • Patent number: 7741188
    Abstract: A deep trench metal-insulator-metal (MIM) capacitor in an SOI-type substrate. In the deep trench, a layer of TiN, followed by a layer of high-k dielectric, followed by a second layer of TiN. The resulting capacitor is completely buried below the SOI layer, thereby allowing for subsequent structures to be placed over the deep trench.
    Type: Grant
    Filed: March 24, 2008
    Date of Patent: June 22, 2010
    Assignee: International Business Machines Corporation
    Inventors: Thomas W. Dyer, Eduard A. Cartier, Michael P. Chudzik, Naim Moumen
  • Patent number: 7741213
    Abstract: A semiconductor device with a multi-layer wiring structure includes a first conductive region: a second conductive region that has an upper surface located in a higher position than the first conductive region with respect to the substrate; an insulating that covers the first and second conductive regions; a wiring groove that is formed in the insulating film so as to expose the second conductive region; a contact hole that is formed in the insulating film so as to expose the first conductive region; and a wiring pattern that fills the wiring groove and the contact hole. In this semiconductor device, the upper surface of the wiring pattern is located on the same plane as the upper surface of the insulating film.
    Type: Grant
    Filed: October 15, 2008
    Date of Patent: June 22, 2010
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Taiji Ema
  • Publication number: 20100144106
    Abstract: A method for fabricating a memory cell is provided. A trench is formed in a semiconductor structure that comprises a semiconductor layer, and a trench capacitor is formed in the trench. Conductivity determining impurities are implanted into the semiconductor structure to create a well region in the semiconductor layer that is directly coupled to the trench capacitor. A gate structure is formed overlying a portion of the well region. Conductivity determining ions are then implanted into other portions of the well region to form a source region and a drain region, and to define an active body region between the source region and the drain region. The active body region directly contacts the trench capacitor.
    Type: Application
    Filed: December 8, 2008
    Publication date: June 10, 2010
    Applicant: ADVANCED MICRO DEVICES, INC.
    Inventors: Hyun-Jin CHO, Sang H. DHONG, Jung-Suk GOO, Gurupada MANDAL
  • Patent number: 7732274
    Abstract: A semiconductor process and apparatus provide a high voltage deep trench capacitor structure (10) that is integrated in an integrated circuit, alone or in alignment with a fringe capacitor (5). The deep trench capacitor structure is constructed from a first capacitor plate (4) that is formed from a doped n-type SOI semiconductor layer (e.g., 4a-c). The second capacitor plate (3) is formed from a doped p-type polysilicon layer (3a) that is tied to the underlying substrate (1).
    Type: Grant
    Filed: May 23, 2007
    Date of Patent: June 8, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Ronghua Zhu, Vishnu Khemka, Amitava Bose, Todd C. Roggenbauer
  • Patent number: 7732250
    Abstract: A method of forming a structure in a phase changeable memory cell can include forming a bottom electrode having an interlayer dielectric layer thereon, the bottom electrode having a recess therein that extends beyond a boundary between the bottom electrode and the interlayer dielectric. A phase changeable layer can be formed in the recess including a protruding potion of the phase changeable layer that protrudes into the bottom electrode beyond the boundary.
    Type: Grant
    Filed: May 2, 2008
    Date of Patent: June 8, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Se-Ho Lee
  • Patent number: 7728371
    Abstract: An isolated shallow trench isolation portion is formed in a top semiconductor portion of a semiconductor-on-insulator substrate along with a shallow trench isolation structure. A trench in the shape of a ring is formed around a doped top semiconductor portion and filled with a conductive material such as doped polysilicon. The isolated shallow trench isolation portion and the portion of a buried insulator layer bounded by a ring of the conductive material are etched to form a cavity. A capacitor dielectric is formed on exposed semiconductor surfaces within the cavity and above the doped top semiconductor portion. A conductive material portion formed in the trench and above the doped top semiconductor portion constitutes an inner electrode of a capacitor, while the ring of the conductive material, the doped top semiconductor portion, and a portion of a handle substrate abutting the capacitor dielectric constitute a second electrode.
    Type: Grant
    Filed: September 19, 2007
    Date of Patent: June 1, 2010
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Louis C Hsu, Jack A. Mandelman, William Tonti
  • Patent number: 7723181
    Abstract: A small-size (w<0.5 micrometers) alignment mark in combination with a “k1 process” is proposed, which is particularly suited for the fabrication of trench-capacitor DRAM devices which requires highly accurate AA-DT and GC-DT overlay alignment. The “k1 process” is utilized to etch away polysilicon studded in the alignment mark trenches and to refresh the trench profile, thereby improving overlay alignment accuracy and precision.
    Type: Grant
    Filed: December 27, 2006
    Date of Patent: May 25, 2010
    Assignee: Nanya Technology Corp.
    Inventors: An-Hsiung Liu, Chiang-Lin Shih, Wen-Bin Wu, Hui-Min Mao, Lin-Chin Su, Pei-Ing Lee
  • Publication number: 20100124806
    Abstract: A semiconductor device includes a semiconductor substrate that includes first and second regions; first, second, and third insulating layers; a capacitor dielectric layer that includes first and second dielectric layers; a gate insulating layer formed on the first and second regions; a gate formed on the gate insulating layer of the second region; a first capacitor electrode formed on the capacitor dielectric layer; and impurity regions formed in the semiconductor substrate on sides of the gate. The first and second regions include first and second trenches, respectively. The third insulating layer is formed on the second insulating layer, which is formed on the first insulating layer, which is formed on an inner surface of the second trench. The second dielectric layer is formed on the first dielectric layer, which is formed on an inner surface of the first trench.
    Type: Application
    Filed: January 20, 2010
    Publication date: May 20, 2010
    Inventor: In-jung Lee
  • Patent number: 7718513
    Abstract: Methods of forming silicided contacts self-aligned to a gate from polysilicon germanium and a structure so formed are disclosed. One embodiment of the method includes: forming a polysilicon germanium (poly SiGe) pedestal over a gate dielectric over a substrate; forming a poly SiGe layer over the poly SiGe pedestal, the poly SiGe layer having a thickness greater than the poly SiGe pedestal; doping the poly SiGe layer; simultaneously forming a gate and a contact to each side of the gate from the poly SiGe layer, the gate positioned over the poly SiGe pedestal; annealing to drive the dopant from the gate and the contacts into the substrate to form a source/drain region below the contacts; filling a space between the gate and the contacts; and forming silicide in the gate and the contacts.
    Type: Grant
    Filed: April 13, 2007
    Date of Patent: May 18, 2010
    Assignee: International Business Machines Corporation
    Inventors: Huilong Zhu, Wenjuan Zhu, Zhijiong Luo
  • Patent number: 7713815
    Abstract: A vertical or three-dimensional non-planar configuration for a decoupling capacitor is provided, which significantly reduces the required die area for capacitors of high charge carrier storage capacity. The non-planar configuration of the decoupling capacitors also provides enhanced pattern uniformity during the highly critical gate patterning process.
    Type: Grant
    Filed: April 21, 2006
    Date of Patent: May 11, 2010
    Assignee: GlobalFoundries Inc.
    Inventors: Matthias Lehr, Kai Frohberg, Christoph Schwan
  • Patent number: 7713885
    Abstract: The invention includes methods in which one or more components of a carboxylic acid having an aqueous acidic dissociation constant of at least 1×10?6 are utilized during the etch of oxide (such as silicon dioxide or doped silicon dioxide). Two or more carboxylic acids can be utilized. Exemplary carboxylic acids include trichloroacetic acid, maleic acid, and citric acid.
    Type: Grant
    Filed: May 11, 2005
    Date of Patent: May 11, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Niraj B. Rana, Kevin R. Shea, Janos Fucsko
  • Patent number: 7713881
    Abstract: A method for void free filling with in-situ doped amorphous silicon of a deep trench structure is provided in which a first fill is carried out in a way so that film deposition occurs from the bottom of the trench upwards, with step coverage well in excess of 100%. In a second fill step, deposition conditions are changed to reduce the impact of dopant on deposition rate, and deposition proceeds at a rate which exceeds the deposition rate of the first fill. In an application of this method to the formation of deep trench capacitor structures, the intermediate steps further including the capping of the void free filled trench with a thick layer of amorphous silicon, planarization of the wafer thereafter, followed by a thermal anneal to re-distribute the dopant within the filled trench. Thereafter, additional steps can be performed to complete the formation of the capacitor structure.
    Type: Grant
    Filed: August 27, 2008
    Date of Patent: May 11, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Ajit Paranjpe, Somnath Nag
  • Patent number: 7713813
    Abstract: The invention includes methods in which silicon is removed from titanium-containing container structures with an etching composition having a phosphorus-and-oxygen-containing compound therein. The etching composition can, for example, include one or both of ammonium hydroxide and tetra-methyl ammonium hydroxide. The invention also includes methods in which titanium-containing whiskers are removed from between titanium-containing capacitor electrodes. Such removal can be, for example, accomplished with an etch utilizing one or more of hydrofluoric acid, ammonium fluoride, nitric acid and hydrogen peroxide.
    Type: Grant
    Filed: August 31, 2005
    Date of Patent: May 11, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Prashant Raghu
  • Patent number: 7713814
    Abstract: Method of limiting the lateral extent of a trench capacitor by a dielectric spacer in a hybrid orientations substrate is provided. The dielectric spacer separates a top semiconductor portion from an epitaxially regrown portion, which have different crystallographic orientations. The deep trench is formed as a substantially straight trench within the epitaxially regrown portion such that part of the epitaxially regrown portion remains overlying the dielectric spacer. The substantially straight trench is then laterally expanded to form a bottle shaped trench and to provide increased capacitance. The lateral expansion of the deep trench is self-limited by the dielectric spacer above the interface between the handle substrate and the buried insulator layer.
    Type: Grant
    Filed: January 4, 2008
    Date of Patent: May 11, 2010
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Ramachandra Divakaruni
  • Patent number: 7709320
    Abstract: A method of forming a trench capacitor and memory cells using the trench capacitor. The method includes: forming an opening in a masking layer; and forming a trench in the substrate through the opening, the trench having contiguous upper, middle and lower regions, the trench extending from a top surface of said substrate into the substrate, the upper region of the trench adjacent to the top surface of the substrate having a vertical sidewall profile and a first width in the horizontal direction, the middle region of the trench having a tapered sidewall profile, a width in a horizontal direction of the middle region at a juncture of the upper region and the middle region being the first width and being greater than a second width in the horizontal direction of the middle region at a juncture of the middle region and the lower region.
    Type: Grant
    Filed: June 28, 2006
    Date of Patent: May 4, 2010
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Xi Li
  • Patent number: 7705386
    Abstract: A memory cell has an access transistor and a capacitor with an electrode disposed within a deep trench. STI oxide covers at least a portion of the electrode, and a liner covers a remaining portion of the electrode. The liner may be a layer of nitride over a layer of oxide. Some of the STI may cover a portion of the liner. In a memory array a pass wordline may be isolated from the electrode by the STI oxide and the liner.
    Type: Grant
    Filed: January 7, 2008
    Date of Patent: April 27, 2010
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Johnathan E. Faltermeier, Herbert L. Ho, Paul C. Parries
  • Patent number: 7700435
    Abstract: A method for fabricating deep trench DRAM array is disclosed. A substrate having thereon a memory array area is provided. An array of deep trench patterns is formed in the memory array area. The deep trench (DT) capacitor patterns include first dummy DT patterns in a first column, second dummy DT patterns in a first row and a plurality of effective DT capacitor patterns. Each of the first dummy DT patterns has an extended width (W) along a first direction, which is greater than or equal to a photomask's shift tolerance. Each of the second dummy DT patterns has an extended length (L) along a second direction, which is greater than or equal to the photomask's shift tolerance. The first direction is normal to the second direction.
    Type: Grant
    Filed: March 12, 2008
    Date of Patent: April 20, 2010
    Assignee: Nanya Technology Corp.
    Inventor: Shian-Jyh Lin
  • Patent number: 7700986
    Abstract: A chip package carrier is disclosed, which includes a first circuit layer, a second circuit layer, a core layer, a third circuit layer, a first dielectric layer between the first and third circuit layers, a fourth conductive layer including at least a solder ball pad, a second dielectric layer between the second and fourth circuit layers and at least a capacitor device, wherein the core layer has at least a first through-hole; the third circuit layer is disposed above the first circuit layer and includes at least a die pad; the capacitor device is disposed in the first through-hole. The capacitor device herein includes a first pillar electrode covering the wall of the first through-hole, a cylindrical capacitor material disposed in the first pillar electrode and having a first blind hole, and a second pillar electrode disposed in the first blind hole and connected to the die pad.
    Type: Grant
    Filed: September 11, 2008
    Date of Patent: April 20, 2010
    Assignee: Unimicron Technology Corp.
    Inventors: Han-Pei Huang, Chih-Peng Fan
  • Patent number: 7701002
    Abstract: A semiconductor device includes an isolation layer disposed in a semiconductor device to define an active region. A gate trench is disposed across the active region and extends to the isolation layer. An insulated gate electrode fills a portion of the gate trench and covers at least one sidewall of the active region. A portion of the gate electrode, that covers at least one sidewall of the active region, extends under a portion of the gate electrode that crosses the active region. An insulating pattern is disposed on the gate electrode.
    Type: Grant
    Filed: December 8, 2006
    Date of Patent: April 20, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyeong-Won Seo, Jae-Man Yoon, Kang-Yoon Lee, Young-Woong Son
  • Patent number: 7700433
    Abstract: A method of fabricating an MIM type capacitor includes at least one of: Forming a first trench within an insulating interlayer formed on a semiconductor substrate. Forming a lower electrode layer of a metal nitride layer substance to fill an inside of the first trench. Forming a second trench on a surface of the lower electrode layer to have a depth less than the first trench. Forming a capacitor dielectric layer conformal along a surface of the lower electrode layer including the second trench. Forming an upper electrode layer of a metal nitride layer substance on the capacitor dielectric layer. Sequentially patterning the upper electrode layer and the capacitor dielectric layer by photolithography.
    Type: Grant
    Filed: May 23, 2007
    Date of Patent: April 20, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Sang-Il Hwang
  • Patent number: 7700434
    Abstract: A semiconductor fabrication method. First, a semiconductor structure is provided. The semiconductor structure includes a semiconductor substrate, a trench in the semiconductor substrate. The trench includes a side wall which includes {100} side wall surfaces and {110} side wall surfaces. The semiconductor structure further includes a blocking layer on the {100} side wall surfaces and the {110} side wall surfaces. Next, portions of the blocking layer on the {110} side wall surfaces are removed without removing portions of the blocking layer on the {100} side wall surfaces such that the {110} side wall surfaces are exposed to a surrounding ambient.
    Type: Grant
    Filed: December 17, 2007
    Date of Patent: April 20, 2010
    Assignee: International Businesss Machines Corporation
    Inventors: Kangguo Cheng, Ramachandra Divakaruni
  • Patent number: 7700469
    Abstract: Some embodiments include methods of forming semiconductor constructions. Oxide is formed over a substrate, and first material is formed over the oxide. Second material is formed over the first material. The second material may be one or both of polycrystalline and amorphous silicon. A third material is formed over the second material. A pattern is transferred through the first material, second material, third material, and oxide to form openings. Capacitors may be formed within the openings. Some embodiments include semiconductor constructions in which an oxide is over a substrate, a first material is over the oxide, and a second material containing one or both of polycrystalline and amorphous silicon is over the first material. Third, fourth and fifth materials are over the second material. An opening may extend through the oxide; and through the first, second, third, fourth and fifth materials.
    Type: Grant
    Filed: February 26, 2008
    Date of Patent: April 20, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Russell A. Benson
  • Patent number: 7696041
    Abstract: In a method for fabricating a semiconductor component, a semiconductor substrate comprising a first surface is provided and a shaping matrix is applied to the first surface. The shaping matrix comprises at least one continuous depression arranged in such a way that contact regions in a region of the first surface are at least partly uncovered. A sacrificial layer is applied to sidewalls of the continuous depression in an upper section of the depression, a first electrode is produced by applying a first conductive layer in a lower section of the depression and to the sacrificial layer, and the sacrificial layer is removed in order to uncover the sidewalls of the shaping matrix in the upper section. A dielectric layer is applied to the first conductive layer and a second electrode is formed by applying a second conductive layer to the dielectric layer.
    Type: Grant
    Filed: April 28, 2006
    Date of Patent: April 13, 2010
    Assignee: Qimonda AG
    Inventor: Ulrike Gruening-Von Schwerin
  • Patent number: 7691704
    Abstract: A method for manufacturing a semiconductor device having a damascene metal/insulator/metal (MIM)-type capacitor and metal lines including providing a semiconductor device; sequentially forming a first interlayer insulating film and a second interlayer insulating film over the semiconductor substrate; simultaneously forming a vias hole and a lower metal line in a line region and a lower electrode in a capacitor region, wherein the lower metal line and the lower electrode are electrically connected to the semiconductor device; sequentially forming a dielectric film, a third interlayer insulating film, a fourth interlayer insulating film and a fifth interlayer insulating film over the semiconductor substrate; and then simultaneously forming a plurality of upper electrodes, a plurality of second vias holes and a plurality of second upper metal lines in the capacitor region electrically connected to the plurality of upper electrodes, a plurality of third vias holes and a plurality of second upper metal lines in th
    Type: Grant
    Filed: October 19, 2007
    Date of Patent: April 6, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Seon-Heui Kim
  • Patent number: 7687843
    Abstract: A process for producing structures in a semiconductor zone, has the steps of a) producing a trench (2) in the semiconductor zone (18), b) filling the trench with a photoresist (19), and c) exposing the photoresist (19) using ion beams (20), d) developing the photoresist (19). The energy density and ion dose for the ion beams (20) are selected in such a way that the photoresist (19) is only chemically changed at defined depths, so as to produce two regions, in the first region (21) of which the photoresist has been chemically changed at the defined depths by the ion beams (20), and in the second region of which the photoresist has been left chemically unchanged, so that during the developing step the photoresist is removed in precisely one of the two regions.
    Type: Grant
    Filed: November 9, 2005
    Date of Patent: March 30, 2010
    Assignee: Infineon Technologies AG
    Inventor: Michael Rueb
  • Patent number: 7687355
    Abstract: A method for manufacturing a fin transistor includes forming a trench by etching a semiconductor substrate. A flowable insulation layer is filled in the trench to form a field insulation layer defining an active region. The portion of the flowable insulation layer coming into contact with a gate forming region is etched so as to protrude the gate forming region in the active region. A protective layer over the semiconductor substrate is formed to fill the portion of the etched flowable insulation layer. The portion of the protective layer formed over the active region is removed to expose the active region of the semiconductor substrate. The exposed active region of the semiconductor substrate is cleaned. The protective layer remaining on the portion of the etched flowable insulation layer is removed. Gates are formed over the protruded gate forming regions in the active region.
    Type: Grant
    Filed: December 28, 2007
    Date of Patent: March 30, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventors: Dong Sun Sheen, Seok Pyo Song, Young Ho Lee
  • Patent number: 7683415
    Abstract: A semiconductor device and a method for fabricating the same are provided. The method includes: forming a contact plug passing through an inter-layer insulation layer; sequentially forming a lower electrode layer, a dielectric layer and an upper electrode layer on the inter-layer insulation layer; patterning the upper electrode layer; patterning the dielectric layer and the lower electrode layer, thereby obtaining a capacitor including an upper electrode, a patterned dielectric layer and a lower electrode; and sequentially forming a first metal interconnection line connected with the contact plug and second metal interconnection lines connected with the capacitor.
    Type: Grant
    Filed: December 12, 2005
    Date of Patent: March 23, 2010
    Assignee: Magnachip Semiconductor, Ltd.
    Inventor: Jin-Youn Cho
  • Patent number: 7682923
    Abstract: A method of forming a metal trench pattern in a thin-film device includes a step of depositing an electrode film on a substrate or on a base layer, a step of forming a resist pattern layer having a trench forming portion used to make a trench pattern, on the deposited electrode film, a step of forming a metal layer for filling spaces in the trench forming portion and for covering the trench forming portion, by performing plating through the formed resist pattern layer using the deposited electrode film as an electrode, a step of planarizing at least a top surface of the formed metal layer until the trench forming portion of the resist pattern layer is at least exposed, and a step of removing the exposed trench forming portion of the resist pattern layer.
    Type: Grant
    Filed: December 31, 2007
    Date of Patent: March 23, 2010
    Assignee: TDK Corporation
    Inventors: Akifumi Kamijima, Hideyuki Yatsu
  • Patent number: 7682896
    Abstract: The present invention relates to a method of fabrication process which integrates the processing steps for fabricating the trench MIM capacitor with the conventional middle-of-line processing steps for fabricating metal contacts, so that the inner metallic electrode layer of the trench MIM capacitor and the metal contact of the FET or other logic circuitry components are formed by a single middle-of-line processing step and comprise essentially the same metallic material. The semiconductor device contains at least one trench metal-oxide-metal (MIM) capacitor and at least one other logic circuitry component, preferably at least one field effect transistor (FET). The trench MIM capacitor is located in a trench in a substrate and comprises inner and outer metallic electrode layers with a dielectric layer therebetween. The FET comprises a source region, a drain region, a channel region, and at least one metal contact connected with the source or drain region.
    Type: Grant
    Filed: May 18, 2007
    Date of Patent: March 23, 2010
    Assignee: International Business Machines Corporation
    Inventors: Herbert Lei Ho, Subramanian Srikanteswara Iyer, Vidhya Ramachandran
  • Patent number: 7679137
    Abstract: A method of fabricating self-aligned gate trench utilizing TTO poly spacer is disclosed. A semiconductor substrate having thereon a pad oxide layer and pad nitride layer is provided. A plurality of trench capacitors are embedded in a memory array region of the semiconductor substrate. Each of the trench capacitors has a trench top oxide (TTO) that extrudes from a main surface of the semiconductor substrate. Poly spacers are formed on two opposite sides of the extruding TTO and are used, after oxidized, as an etching hard mask for etching a recessed gate trench in close proximity to the trench capacitor.
    Type: Grant
    Filed: April 3, 2007
    Date of Patent: March 16, 2010
    Assignee: Nanya Technology Corp.
    Inventors: Pei-Ing Lee, Chien-Li Cheng, Shian-Jyh Lin
  • Patent number: 7674693
    Abstract: A method forming a semiconductor device includes forming a domed gate oxide film to relieve stress resulting from a thermal expansion rate difference of an oxide film and silicon film during a subsequent thermal process and preventing leakage current between source/drain regions through thickness regulation of the gate oxide film to improve refresh characteristics.
    Type: Grant
    Filed: June 8, 2006
    Date of Patent: March 9, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jae Yun Yi
  • Patent number: 7674675
    Abstract: The invention provides a fingered decoupling capacitor in the bulk silicon region that are formed by etching a series of minimum or sub-minimum trenches in the bulk silicon region, oxidizing these trenches, removing the oxide from at least one or more disjoint trenches, filling all the trenches with either in-situ doped polysilicon, intrinsic polysilicon that is later doped through ion implantation, or filling with a metal stud, such as tungsten and forming standard interconnects to the capacitor plates.
    Type: Grant
    Filed: July 12, 2006
    Date of Patent: March 9, 2010
    Assignee: International Business Machines Corporation
    Inventors: Zachary E. Berndlmaier, Edward W. Kiewra, Carl J. Radens, William R. Tonti
  • Publication number: 20100052026
    Abstract: A semiconductor structure is disclosed. The semiconductor structure includes an active semiconductor layer, a semiconductor device having a gate disposed on top of the active semiconductor layer, and source and drain regions and a body/channel region disposed within the active semiconductor layer, an insulator layer having a first and second side, the first side being adjacent to the active semiconductor layer, a substrate disposed adjacent to the second side of the insulator layer, a deep trench capacitor disposed under the body/channel region of the semiconductor device. The deep trench capacitor electrically connects with and contacts the body/channel region of the semiconductor device, and is located adjacent to the gate of the semiconductor device. The semiconductor structure increases a critical charge Qcrit, thereby reducing a soft error rate (SER) of the semiconductor device.
    Type: Application
    Filed: August 28, 2008
    Publication date: March 4, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: John Edward Barth, JR., Kerry Bernstein, Ethan Harrison Cannon, Francis Roger White
  • Patent number: 7670901
    Abstract: A method of fabricating a bottle trench and a bottle trench capacitor. The method including: providing a substrate; forming a trench in the substrate, the trench having sidewalls and a bottom, the trench having an upper region adjacent to a top surface of the substrate and a lower region adjacent to the bottom of the trench; forming an oxidized layer of the substrate in the bottom region of the trench; and removing the oxidized layer of the substrate from the bottom region of the trench, a cross-sectional area of the lower region of the trench greater than a cross-sectional area of the upper region of the trench.
    Type: Grant
    Filed: February 20, 2008
    Date of Patent: March 2, 2010
    Assignees: International Business Machines Corporation, Infineon Technologies North America Corp., Infineon Technologies AG
    Inventors: Oh-Jung Kwon, Kenneth T. Settlemyer, Jr., Ravikumar Ramachandran, Min-Soo Kim
  • Patent number: 7671394
    Abstract: A deep trench is formed in a semiconductor substrate and a pad layer thereupon, and filled with a dummy node dielectric and a dummy trench fill. A shallow trench isolation structure is formed in the semiconductor substrate. A dummy gate structure is formed in a device region after removal of the pad layer. A first dielectric layer is formed over the dummy gate structure and a protruding portion of the dummy trench fill and then planarized. The dummy structures are removed. The deep trench and a cavity formed by removal of the dummy gate structure are filled with a high dielectric constant material layer and a metallic layer, which form a high-k node dielectric and a metallic inner electrode of a deep trench capacitor in the deep trench and a high-k gate dielectric and a metal gate in the device region.
    Type: Grant
    Filed: October 17, 2007
    Date of Patent: March 2, 2010
    Assignee: International Business Machines Corporation
    Inventors: Roger A. Booth, Jr., MaryJane Brodsky, Kangguo Cheng, Chengwen Pei
  • Patent number: 7670900
    Abstract: A dynamic random access memory device including a capacitor structure, e.g., trench, stack. The device includes a substrate (e.g., silicon, silicon on insulator, epitaxial silicon) having a surface region. The device includes an interlayer dielectric region overlying the surface region. In a preferred embodiment, the interlayer dielectric region has an upper surface and a lower surface. The device has a container structure within a portion of the interlayer dielectric region. The container structure extends from the upper surface to the lower surface. The container structure has a first width at the upper surface and a second width at the lower surface. The container structure has an inner region extending from the upper surface to the lower surface. In a specific embodiment, the container structure has a higher dopant concentration within a portion of the inner region within a vicinity of the lower surface and on a portion of the inner region near the vicinity of the lower surface.
    Type: Grant
    Filed: October 13, 2006
    Date of Patent: March 2, 2010
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Roger Lee, Guoqing Chen, Fumitake Mieno
  • Patent number: 7667258
    Abstract: Double-sided container capacitors are formed using sacrificial layers. A sacrificial layer is formed within a recess in a structural layer. A lower electrode is formed within the recess. The sacrificial layer is removed to create a space to allow access to the sides of the structural layer. The structural layer is removed, creating an isolated lower electrode. The lower electrode can be covered with a capacitor dielectric and upper electrode to form a double-sided container capacitor.
    Type: Grant
    Filed: January 19, 2007
    Date of Patent: February 23, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Kevin R. Shea, Chris W. Hill, Kevin J. Torek
  • Patent number: 7666792
    Abstract: The invention provides a method for forming a deep trench in a substrate. A sacrificial layer and a liner layer are first used to define the deep trench pattern. The sacrificial layer is then replaced with a silicon glass layer. A thick mask layer includes the silicon glass layer, the liner layer and a silicon nitride layer is formed on the substrate. Through an opening of the thick mask layer, a deep trench is etched into the substrate.
    Type: Grant
    Filed: February 22, 2008
    Date of Patent: February 23, 2010
    Assignee: Nanya Technology Corp.
    Inventors: Chung-Yen Chou, Hai-Han Hung, Teng-Wang Huang, Shin-Yu Nieh
  • Publication number: 20100041191
    Abstract: A method of manufacturing a dynamic random access memory cell includes: forming a substrate having an insulating region over a conductive region; forming a fin of a fin-type field effect transistor (FinFET) device over the insulating region; forming a storage capacitor at a first end of the fin; and forming a back-gate at a lateral side of the fin. The back-gate is in electrical contact with the conductive region and is structured and arranged to influence a threshold voltage of the fin.
    Type: Application
    Filed: August 15, 2008
    Publication date: February 18, 2010
    Inventors: Brent A. Anderson, Edward J. Nowak
  • Patent number: 7663241
    Abstract: A semiconductor device comprises a substrate, a first conductive film, a first insulation film, a second insulation film, a second conductive film, and a third conductive film. The first conductive film is formed on the substrate. The first insulation film is formed on the first conductive film and has a first opening. The first opening is formed as having multiple crossing trenches each having a predetermined width. The second insulation film is formed on the sides and bottom of the first opening. The second conductive film is formed on the second insulation film in the interior of the first opening. The third conductive film is formed on the second insulation film and the second conductive film.
    Type: Grant
    Filed: October 3, 2007
    Date of Patent: February 16, 2010
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Hirokazu Fujimaki
  • Patent number: 7662685
    Abstract: A semiconductor device includes a Si substrate, a gate insulating film formed on the Si substrate, the gate insulating film being formed of an oxide film containing at least one selected from the group of Zr, Hf, Ti and a lanthanoid series metal, and having a single local minimal value on a high binding energy side of an inflection point in first differentiation of an O1s photoelectron spectrum, and a gate electrode formed on the gate insulating film.
    Type: Grant
    Filed: September 26, 2005
    Date of Patent: February 16, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masamichi Suzuki, Takeshi Yamaguchi
  • Publication number: 20100032742
    Abstract: A method of forming an integrated circuit comprises: providing a semiconductor topography comprising an active transistor laterally adjacent to a trench capacitor formed in a semiconductor substrate, the active transistor comprising a source junction and a drain junction, wherein a barrier layer is disposed along a periphery of the trench capacitor for isolating the trench capacitor; forming an interlevel dielectric across the semiconductor topography; concurrently etching (i) a first opening through the interlevel dielectric to the drain junction of the active transistor and the trench capacitor, and (ii) a second opening through the interlevel dielectric to the source junction of the active transistor; and filling the first opening and the second opening with a conductive material to form a strap for electrically connecting the trench capacitor to the drain junction of the active transistor and to also form a contact for electrically connecting the source junction to an overlying level of the integrated cir
    Type: Application
    Filed: August 6, 2008
    Publication date: February 11, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: John E. Barth, JR., Kangguo Cheng, Michael Sperling, Geng Wang
  • Patent number: 7659163
    Abstract: A method for forming a semiconductor device is provided. The method includes providing a substrate having a plurality of protrusions projecting from the substrate; forming a silicon layer over the substrate and each protrusion; performing an anisotropic etching to transfer the silicon layer into a silicon spacer positioned on a side wall of each protrusion; forming an oxide layer over the silicon spacer; and etching the substrate to form a recess on the substrate by using the oxide layer as a mask.
    Type: Grant
    Filed: November 30, 2006
    Date of Patent: February 9, 2010
    Assignee: Nanya Technology Corp.
    Inventors: Chih-Huang Wu, Chien-Jung Yang
  • Patent number: 7659162
    Abstract: A method of manufacturing a phase change memory device includes forming at least one active device on a substrate, forming a bottom electrode electrically connected to the at least one active device, forming a phase change material layer and a top electrode on the bottom electrode, forming a capping layer on an upper surface of the top electrode and on side surfaces of the top electrode and phase change material layer, removing a portion of the capping layer overlapping the upper surface of the top electrode to define capping layer sidewall portions, forming an interlayer insulation film on the capping layer sidewall portions and on the top electrode, removing a portion of the interlayer insulation film from the top electrode to form a contact hole through the interlayer insulation film, and forming a contact plug in the contact hole.
    Type: Grant
    Filed: October 8, 2008
    Date of Patent: February 9, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Soo Lim, Yong-Sun Ko, Sung-Un Kwon, Jae-Seung Hwang
  • Patent number: 7656693
    Abstract: In a memory cell area of a semiconductor device, first, second, and third inter-layer insulating films respectively cover a cell transistor, a bit wiring line, and a capacitor which are connected to each other. In an adjacent peripheral circuit area, a peripheral-circuit transistor is covered with the first inter-layer insulating film, a first-layer wiring line connected to the peripheral-circuit transistor is provided on the first inter-layer insulating film and covered with the second inter-layer insulating film, and a second-layer wiring line is provided on the third inter-layer insulating film. In the memory cell area, a landing pad is provided on the second inter-layer insulating film and between the capacitor and a contact plug for connecting the capacitor to the cell transistor. An assist wiring line connected to the first-layer wiring line is provided on the main surface of the second inter-layer insulating film, on which the landing pad is provided.
    Type: Grant
    Filed: March 13, 2008
    Date of Patent: February 2, 2010
    Assignee: Elpida Memory, Inc.
    Inventors: Yoshitaka Nakamura, Mitsutaka Izawa