Insulated Gate Formation Patents (Class 438/585)
  • Publication number: 20140120681
    Abstract: A method of fabricating a semiconductor device includes forming a gate electrode structure on a substrate, forming a first spacer material layer covering the gate electrode structure, forming a second spacer material layer covering the first spacer material layer, and etching the first and second spacer material layers using an etch-back process to form first and second spacers.
    Type: Application
    Filed: June 20, 2013
    Publication date: May 1, 2014
    Inventors: Chong-Kwang CHANG, Se-Young LEE, Seung-Ho CHAE
  • Publication number: 20140110669
    Abstract: Techniques are disclosed for forming a non-planar quantum well structure. In particular, the quantum well structure can be implemented with group IV or III-V semiconductor materials and includes a fin structure. In one example case, a non-planar quantum well device is provided, which includes a quantum well structure having a substrate (e.g. SiGe or GaAs buffer on silicon), a IV or III-V material barrier layer (e.g., SiGe or GaAs or AlGaAs), and a quantum well layer. A fin structure is formed in the quantum well structure, and an interfacial layer provided over the fin structure. A gate metal can be deposited across the fin structure. Drain/source regions can be formed at respective ends of the fin structure.
    Type: Application
    Filed: October 22, 2013
    Publication date: April 24, 2014
    Inventors: Willy Rachmady, Ravi Pillarisetty, Van H. Le, Robert S. Chau
  • Publication number: 20140110766
    Abstract: A semiconductor structure has a second portion with an appendage on one side of the second portion and extruding along the longitudinal direction of the second portion. Moreover the semiconductor structure also has a gate line longitudinally parallel to the second portion, wherein the length of the gate line equals to the longitudinal length of the second portion.
    Type: Application
    Filed: October 22, 2012
    Publication date: April 24, 2014
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventors: TENG-HAO YEH, YEN-HAO SHIH
  • Patent number: 8703594
    Abstract: A method for fabricating a semiconductor device is disclosed. A dummy gate feature is formed between two active gate features in an inter-layer dielectric (ILD) over a substrate. An isolation structure is in the substrate and the dummy gate feature is over the isolation structure. Source/drain (S/D) features are formed at edges of the active gate features in the substrate for forming transistor devices. The disclosed method provides an improved method for reducing parasitic capacitance among the transistor devices. In an embodiment, the improved formation method is achieved by introducing species into the dummy gate feature to increase the resistance of the dummy gate feature.
    Type: Grant
    Filed: October 25, 2011
    Date of Patent: April 22, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Hsi Yeh, Tsung-Chieh Tsai, Chun-Yi Lee
  • Publication number: 20140106479
    Abstract: A method for fabricating a semiconductor device is disclosed. The method includes forming at least one material layer over a substrate; performing an end-cut patterning process to form an end-cut pattern overlying the at least one material layer; transferring the end-cut pattern to the at least one material layer; performing a line-cut patterning process after the end-cut patterning process to form a line-cut pattern overlying the at least one material layer; and transferring the line-cut pattern to the at least one material layer.
    Type: Application
    Filed: October 22, 2013
    Publication date: April 17, 2014
    Inventors: Li-Te S. Lin, Meng Jun Wang, Ya Hui Chang, Hui Ouyang
  • Publication number: 20140106552
    Abstract: A MEMS transistor for a FBEOL level of a CMOS integrated circuit is disclosed. The MEMS transistor includes a cavity within the integrated circuit. A MEMS cantilever switch having two ends is disposed within the cavity and anchored at least at one of the two ends. A gate and a drain are in a sidewall of the cavity, and are separated from the MEMS cantilever switch by a gap. In response to a voltage applied to the gate, the MEMS cantilever switch moves across the gap in a direction parallel to the plane of the FBEOL level of the CMOS integrated circuit into electrical contact with the drain to permit a current to flow between the source and the drain. Methods for fabricating the MEMS transistor are also disclosed. In accordance with the methods, a MEMS cantilever switch, a gate, and a drain are constructed on a far back end of line (FBEOL) level of a CMOS integrated circuit in a plane parallel to the FBEOL level.
    Type: Application
    Filed: October 16, 2012
    Publication date: April 17, 2014
    Applicant: International Business Machines Corporation
    Inventors: Leland Chang, Guy Cohen, Michael A. Guillorn, Effendi Leobandung, Fei Liu, Ghavam G. Shahidi
  • Publication number: 20140106553
    Abstract: According to one aspect of the inventive concept there is provided a process for manufacturing a semiconductor device, comprising: providing a channel layer (104), providing a mask (106) on the channel layer, epitaxially growing a contact layer (108) in contact with the channel layer, epitaxially growing a support layer (110) on the contact layer, wherein the support layer is arranged to be etched at a higher rate than the contact layer, forming a trench extending through the support layer by removing the mask, and providing a conductor (118) in the trench. There is also provided an intermediate product for the manufacture of a semiconductor device.
    Type: Application
    Filed: June 1, 2012
    Publication date: April 17, 2014
    Applicant: ACCONEER AB
    Inventors: Mikael Egard, Erik Lind, Lars-Erik Wernersson
  • Patent number: 8698233
    Abstract: A method for fabricating a semiconductor memory apparatus is provided to minimize failure of the semiconductor memory apparatus and to secure a processing margin. The method also provides for minimizing the deterioration of an operating speed and the operational stability, and minimizing the increase of resistance occurring as a result of a reduced processing margin when forming a gate pattern in a peripheral region of the semiconductor memory apparatus. The method includes forming a connection pad in a peripheral region while forming a buried word line in a cell region, and forming a gate pattern in the peripheral region while forming a bit line in the cell region.
    Type: Grant
    Filed: December 5, 2012
    Date of Patent: April 15, 2014
    Assignee: SK Hynix Inc.
    Inventors: Hyoung Soon Yune, Joo Hong Jeong
  • Patent number: 8697504
    Abstract: A method of manufacturing an organic thin film transistor, comprising: providing a substrate comprising source and drain electrodes defining a channel region; subjecting at least the channel region to a cleaning treatment step; and depositing organic semiconductive material from solution into the channel region by inkjet printing.
    Type: Grant
    Filed: December 17, 2008
    Date of Patent: April 15, 2014
    Assignee: Cambridge Display Technology Limited
    Inventors: Mark Bale, Craig Murphy
  • Publication number: 20140097504
    Abstract: A method for forming an aluminum titanium nitride layer on a wafer by plasma-enhanced physical vapor deposition including a first step at a radio frequency power ranging between 100 and 500 W only, and a second step at a radio frequency power ranging between 500 and 1,000 W superimposed to a D.C. power ranging between 500 and 1,000 W. An insulated gate comprising such an aluminum titanium nitride layer.
    Type: Application
    Filed: September 20, 2013
    Publication date: April 10, 2014
    Inventors: Pierre CAUBET, Florian DOMENGIE, Sylvain BAUDOT
  • Publication number: 20140099751
    Abstract: The present invention provides a method of forming a doping region. A substrate is provided, and a poly-silicon layer is formed on the substrate. A silicon oxide layer is formed on the poly-silicon layer. An implant process is performed to form a doping region in the poly-silicon layer. The present invention further provides a method for forming a MOS.
    Type: Application
    Filed: October 8, 2012
    Publication date: April 10, 2014
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Hao Su, Hang Hu, Hong Liao
  • Publication number: 20140091395
    Abstract: A method for fabricating a transistor device including the following processes. First, a semiconductor substrate having a first transistor region is provided. A low temperature deposition process is carried out to form a first tensile stress layer on a transistor within the first transistor region, wherein a temperature of the low temperature deposition process is lower than 300 degree Celsius (° C.). Then, a high temperature annealing process is performed, wherein a temperature of the high temperature annealing process is at least 150° C. higher than a temperature of the low temperature deposition process. Finally, a second tensile stress layer is formed on the first tensile stress layer, wherein the first tensile stress layer has a lower tensile stress than the second tensile stress layer.
    Type: Application
    Filed: October 1, 2012
    Publication date: April 3, 2014
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Chih-Chien Liu, Tzu-Chin Wu, Yu-Shu Lin, Jei-Ming Chen, Wen-Yi Teng
  • Publication number: 20140077304
    Abstract: A process for fabricating a gate structure, the gate structure having a plurality of gates defined by a network of spaces. The word line (WL) spaces within a dense WL region having airgaps and those spaces outside of the dense WL being substantially free of airgaps. A gate structure having a silicide layer dispose across the plurality of gates is also provided.
    Type: Application
    Filed: September 14, 2012
    Publication date: March 20, 2014
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventors: Yu-Fong Huang, Kun-Mou Chan, Tzung-Ting Han
  • Publication number: 20140080296
    Abstract: A method of fabricating a semiconductor device includes forming a gate pattern on a substrate, and etching sides of the gate pattern using a first wet-etching process to form a first recess. The first wet-etching process includes using an etchant containing a first chemical substance including a hydroxyl functional group (—OH) and a second chemical substance capable of oxidizing the substrate. The concentration of the second chemical substance is 1.5 times or less the concentration of the first chemical substance.
    Type: Application
    Filed: July 17, 2013
    Publication date: March 20, 2014
    Inventors: Jae-Jik BAEK, Ji-Hoon CHA, Bo-Un YOON, Kwang-Wook LEE, Jeong-Nam HAN
  • Patent number: 8673757
    Abstract: A method is provided that includes forming a high-k dielectric etch stop layer over at least a first conductivity type semiconductor device on a first portion of a substrate and at least a second conductivity type semiconductor device on a second portion of the semiconductor device. A first stress-inducing layer is deposited over the first conductivity type semiconductor device and the second conductivity type semiconductor device. The portion of the first stress-inducing layer that is formed over the second conductivity type semiconductor device is then removed with an etch that is selective to the high-k dielectric etch stop layer to provide an exposed surface of second portion of the substrates that includes at least the second conductivity type semiconductor device. A second stress-inducing layer is then formed over the second conductivity type semiconductor device.
    Type: Grant
    Filed: October 28, 2010
    Date of Patent: March 18, 2014
    Assignee: International Business Machines Corporation
    Inventor: William K. Henson
  • Patent number: 8673758
    Abstract: A method for fabricating a metal gate includes the following steps. First, a substrate having an interfacial dielectric layer above the substrate is provided. Then, a gate trench having a barrier layer is formed in the interfacial dielectric layer. A source layer is disposed above the barrier layer. Next, a process is performed to have at least one element in the source layer move into the barrier layer. Finally, the source layer is removed and a metal layer fills up the gate trench.
    Type: Grant
    Filed: June 16, 2011
    Date of Patent: March 18, 2014
    Assignee: United Microelectronics Corp.
    Inventors: Cheng-Yu Ma, Wen-Han Hung
  • Patent number: 8674452
    Abstract: A semiconductor device includes: a substrate having a first region and a second region; a first gate structure disposed on the first region, wherein the first gate structure comprises a first high-k dielectric layer, a first work function metal layer, and a first metal layer disposed between the first high-k dielectric layer and the first work function metal layer; and a second gate structure disposed on the second region, wherein the second gate structure comprises a second high-k dielectric layer, a second work function metal layer, and a second metal layer disposed between the second high-k dielectric layer and the second work function metal layer, wherein the thickness of the second metal layer is lower than the thickness of the first metal layer.
    Type: Grant
    Filed: June 24, 2011
    Date of Patent: March 18, 2014
    Assignee: United Microelectronics Corp.
    Inventors: Chin-Cheng Chien, Tzung-Ying Lee, Tsuo-Wen Lu, Shu-Yen Chan, Jei-Ming Chen, Yu-Min Lin, Chun-Wei Hsu
  • Publication number: 20140070356
    Abstract: A method for protecting a semiconductor device against degradation of its electrical characteristics is provided. The method includes providing a semiconductor device having a first semiconductor region and a charged dielectric layer which form a dielectric-semiconductor interface. The majority charge carriers of the first semiconductor region are of a first charge type. The charged dielectric layer includes fixed charges of the first charge type. The charge carrier density per area of the fixed charges is configured such that the charged dielectric layer is shielded against entrapment of hot majority charge carriers generated in the first semiconductor region. Further, a semiconductor device which is protected against hot charge carriers and a method for forming a semiconductor device are provided.
    Type: Application
    Filed: November 19, 2013
    Publication date: March 13, 2014
    Applicant: Infineon Technologies Austria AG
    Inventors: Anton Mauder, Hans-Joachim Schulze
  • Publication number: 20140073125
    Abstract: A semiconductor device is manufactured using an expandable material. The method includes forming a first gate insulating layer on a substrate, forming first and second gate structures on the first gate insulating layer, the first and second gate structures being spaced apart from each other at a distance, forming an expandable material on sidewalls and upper surfaces of the first and second gate structures, forming a gap-fill layer on the expandable material between the first and second gate structures, and performing a heat-treatment process to increase the volume of the expandable material.
    Type: Application
    Filed: March 13, 2013
    Publication date: March 13, 2014
    Inventor: Samsung Electronics Co., Ltd.
  • Publication number: 20140070320
    Abstract: Integrated circuits including MOSFETs with selectively recessed gate electrodes. Transistors having recessed gate electrodes with reduced capacitive coupling area to adjacent source and drain contact metallization are provided alongside transistors with gate electrodes that are non-recessed and have greater z-height. In embodiments, analog circuits employ transistors with gate electrodes of a given z-height while logic gates employ transistors with recessed gate electrodes of lesser z-height. In embodiments, subsets of substantially planar gate electrodes are selectively etched back to differentiate a height of the gate electrode based on a given transistor's application within a circuit.
    Type: Application
    Filed: September 7, 2012
    Publication date: March 13, 2014
    Inventors: Srijit Mukherjee, Christopher J. Wiegand, Ivler . Weeks, Mark Y. Liu, Michael L. Hattendorf
  • Publication number: 20140073094
    Abstract: This document relates to a method of forming low-resistance metal gate and data wirings and a method of manufacturing a thin film transistor using the same. The method of the wiring includes depositing a metal layer on a base layer; exposing a portion of the base layer by removing a portion of the metal layer; forming grooves in the base layer; forming a seed layer in the grooves of the base layer; and forming a wire consisting of the seed layer and a plated layer by plating a plating material on the seed layer formed in the grooves of the base layer.
    Type: Application
    Filed: December 27, 2012
    Publication date: March 13, 2014
    Applicant: LG DISPLAY CO., LTD.
    Inventors: Ohnam Kwon, Haeyeol Kim
  • Publication number: 20140061810
    Abstract: Provided is an in-wiring-layer active element (component) which allows for electrical isolation between a gate electrode and a channel in a top gate structure. A semiconductor device includes a first wiring layer, a second wiring layer, and a semiconductor element. The first wiring layer has a first interlayer insulating layer, and a first wire embedded in the first interlayer insulating layer. The second wiring layer has a second interlayer insulating layer, and second wires embedded in the second interlayer insulating layer. The semiconductor element is provided at least in the second wiring layer. The semiconductor element includes a semiconductor layer provided in the second wiring layer, a gate insulating film provided in contact with the semiconductor layer, a gate electrode provided on the opposite side of the semiconductor layer via the first gate insulating film, and a first side wall film provided over a side surface of the semiconductor layer.
    Type: Application
    Filed: August 22, 2013
    Publication date: March 6, 2014
    Applicant: Renesas Electronics Corporation
    Inventors: Hiroshi Sunamura, Kishou Kaneko, Yoshihiro Hayashi
  • Publication number: 20140065808
    Abstract: A method comprises depositing a first portion of a first material layer on a semiconductor structure. A first run of a post-treatment process is performed for modifying at least the first portion of the first material layer. After the first run of the post-treatment process, a second portion of the first material layer is deposited. The second portion is formed of substantially the same material as the first portion. After the deposition of the second portion of the first material layer, a second run of the post-treatment process is performed for modifying at least the second portion of the first material layer.
    Type: Application
    Filed: August 31, 2012
    Publication date: March 6, 2014
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Carsten Grass, Martin Trentzsch, Boris Bayha, Peter Krottenthaler
  • Patent number: 8664057
    Abstract: When forming high-k metal gate electrode structures in transistors of different conductivity type while also incorporating an embedded strain-inducing semiconductor alloy selectively in one type of transistor, superior process uniformity may be accomplished by selectively reducing the thickness of a dielectric cap material of a gate layer stack above the active region of transistors which do not receive the strain-inducing semiconductor alloy. In this case, superior confinement and thus integrity of sensitive gate materials may be accomplished in process strategies in which the sophisticated high-k metal gate electrode structures are formed in an early manufacturing stage, while, in a replacement gate approach, superior process uniformity is achieved upon exposing the surface of a placeholder electrode material.
    Type: Grant
    Filed: August 3, 2012
    Date of Patent: March 4, 2014
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Rohit Pal, Sven Beyer, Andy Wei, Richard Carter
  • Patent number: 8664103
    Abstract: Generally, the subject matter disclosed herein relates to modern sophisticated semiconductor devices and methods for forming the same, wherein a reduced threshold voltage (Vt) may be achieved in HK/MG transistor elements that are manufactured based on replacement gate electrode integrations. One illustrative method disclosed herein includes forming a first metal gate electrode material layer above a gate dielectric material layer having a dielectric constant of approximately 10 or greater. The method further includes exposing the first metal gate electrode material layer to an oxygen diffusion process, forming a second metal gate electrode material layer above the first metal gate electrode material layer, and adjusting an oxygen concentration gradient and a nitrogen concentration gradient in at least the first metal gate electrode material layer and the gate dielectric material layer.
    Type: Grant
    Filed: June 7, 2011
    Date of Patent: March 4, 2014
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Klaus Hempel, Andy Wei, Robert Binder, Joachim Metzger
  • Publication number: 20140054654
    Abstract: A MOS transistor includes a gate structure on a substrate, and the gate structure includes a wetting layer, a transitional layer and a low resistivity material from bottom to top, wherein the transitional layer has the properties of a work function layer, and the gate structure does not have any work function layers. Moreover, the present invention provides a MOS transistor process forming said MOS transistor.
    Type: Application
    Filed: August 22, 2012
    Publication date: February 27, 2014
    Inventors: Ya-Hsueh Hsieh, Chi-Mao Hsu, Hsin-Fu Huang, Min-Chuan Tsai, Chien-Hao Chen, Chi-Yuan Sun, Wei-Yu Chen, Chin-Fu Lin
  • Patent number: 8658489
    Abstract: A CMOS device having an NMOS transistor with a metal gate electrode comprising a mid-gap metal with a low work function/high oxygen affinity cap and a PMOS transistor with a metal gate electrode comprising a mid gap metal with a high work function/low oxygen affinity cap and method of forming.
    Type: Grant
    Filed: August 15, 2013
    Date of Patent: February 25, 2014
    Assignee: Texas Instruments Incorporated
    Inventors: James Joseph Chambers, Hiroaki Niimi
  • Patent number: 8658539
    Abstract: A FinFET device may include a first semiconductor fin laterally adjacent a second semiconductor fin. The first semiconductor fin and the second semiconductor fin may have profiles to minimize defects and deformation. The first semiconductor fin comprises an upper portion and a lower portion. The lower portion of the first semiconductor fin may have a flared profile that is wider at the bottom than the upper portion of the first semiconductor fin. The second semiconductor fin comprises an upper portion and a lower portion. The lower portion of the second semiconductor fin may have a flared profile that is wider than the upper portion of the second semiconductor fin, but less than the lower portion of the first semiconductor fin.
    Type: Grant
    Filed: July 15, 2013
    Date of Patent: February 25, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chia-Wei Chang, Chih-Fang Liu, Chih-Tang Peng, Tai-Chun Huang, Ryan Chia-Jen Chen
  • Publication number: 20140048904
    Abstract: One embodiment of a semiconductor device includes a semiconductor body with a first side and a second side opposite to the first side. The semiconductor device further includes a first contact trench extending into the semiconductor body at the first side. The first contact trench includes a first conductive material electrically coupled to the semiconductor body adjoining the first contact trench. The semiconductor further includes a second contact trench extending into the semiconductor body at the second side. The second contact trench includes a second conductive material electrically coupled to the semiconductor body adjoining the second contact trench.
    Type: Application
    Filed: August 20, 2012
    Publication date: February 20, 2014
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: Markus Zundel, Andreas Meiser, Hans-Peter Lang, Thorsten Meyer, Peter Irsigler
  • Publication number: 20140051217
    Abstract: Semiconductor structures including parallel graphene nanoribbons or carbon nanotubes oriented along crystallographic directions are provided from a template of silicon carbide (SiC) fins or nanowires. The SiC fins or nanowires are first provided and then graphene nanoribbons or carbon nanotubes are formed on the exposed surfaces of the fin or the nanowires by annealing. In embodiments in which closed carbon nanotubes are formed, the nanowires are suspended prior to annealing. The location, orientation and chirality of the graphene nanoribbons and the carbon nanotubes that are provided are determined by the corresponding silicon carbide fins and nanowires from which they are formed.
    Type: Application
    Filed: October 28, 2013
    Publication date: February 20, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Guy M. Cohen, Christos D. Dimitrakopoulos, Alfred Grill
  • Patent number: 8652955
    Abstract: Provided is a manufacturing method of semiconductor integrated circuit, which is effective when applied to a processing technique for a gate electrode or the like. In the patterning of a gate stack film having a high-k gate insulating film and a metal electrode film in a memory region, etching for a cut region between adjacent gate electrodes is performed first using a first resist film and, after the first resist film that is no longer needed is removed, etching for a line and space pattern is performed using a second resist film.
    Type: Grant
    Filed: February 14, 2012
    Date of Patent: February 18, 2014
    Assignee: Renesas Electronics Corporation
    Inventor: Masaaki Shinohara
  • Patent number: 8652957
    Abstract: A dielectric such as a gate oxide and method of fabricating a gate oxide that produces a more reliable and thinner equivalent oxide thickness than conventional SiO2 gate oxides are provided. Gate oxides formed from elements such as zirconium are thermodynamically stable such that the gate oxides formed will have minimal reactions with a silicon substrate or other structures during any later high temperature processing stages. The process shown is performed at lower temperatures than the prior art, which further inhibits reactions with the silicon substrate or other structures. Using a thermal evaporation technique to deposit the layer to be oxidized, the underlying substrate surface smoothness is preserved, thus providing improved and more consistent electrical properties in the resulting gate oxide.
    Type: Grant
    Filed: September 26, 2011
    Date of Patent: February 18, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 8652956
    Abstract: In a replacement gate approach in sophisticated semiconductor devices, the placeholder material of gate electrode structures of different type are separately removed. Furthermore, electrode metal may be selectively formed in the resulting gate opening, thereby providing superior process conditions in adjusting a respective work function of gate electrode structures of different type. In one illustrative embodiment, the separate forming of gate openings in gate electrode structures of different type may be based on a mask material that is provided in a gate layer stack.
    Type: Grant
    Filed: June 26, 2012
    Date of Patent: February 18, 2014
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Sven Beyer, Klaus Hempel, Thilo Scheiper, Stefanie Steiner
  • Publication number: 20140045304
    Abstract: A semiconductor structure includes a substrate, a first well region of a first conductivity type overlying the substrate, a second well region of a second conductivity type opposite the first conductivity type overlying the substrate, a cushion region between and adjoining the first and the second well regions, an insulation region in a portion of the first well region and extending from a top surface of the first well region into the first well region, a gate dielectric extending from over the first well region to over the second well region, wherein the gate dielectric has a portion over the insulation region, and a gate electrode on the gate dielectric.
    Type: Application
    Filed: September 18, 2013
    Publication date: February 13, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsueh-Liang Chou, Chen-Bau Wu, Weng-Chu Chu, Tsung-Yi Huang, Fu-Jier Fan
  • Patent number: 8647949
    Abstract: An integrated circuit transistor is fabricated with a trench gate having nonconductive sidewalls. The transistor is surrounded by an isolation trench filled with a nonconductive material. The sidewalls of the gate trench are formed of the nonconductive material and are substantially free of unetched substrate material. As a result, the sidewalls of the gate trench do not form an undesired conductive path between the source and the drain of the transistor, thereby advantageously reducing the amount of parasitic current that flows between the source and drain during operation.
    Type: Grant
    Filed: January 31, 2011
    Date of Patent: February 11, 2014
    Assignee: Round Rock Research, LLC
    Inventors: Michael Smith, Mark Helm, Kirk Prall
  • Publication number: 20140038398
    Abstract: In a method of treating a substrate according to the inventive concept, the substrate is treated using a buffer solution including carbon dioxide (CO2) water in combination with an alkaline solution.
    Type: Application
    Filed: July 25, 2013
    Publication date: February 6, 2014
    Applicant: Samsung Electronics Co., Ltd.
    Inventor: Jung Shik Heo
  • Publication number: 20140038399
    Abstract: A method for fabricating an aperture is disclosed. The method includes the steps of: forming a hard mask containing carbon on a surface of a semiconductor substrate; and using a non-oxygen element containing gas to perform a first etching process for forming a first aperture in the hard mask. Before forming the hard mask, a gate which includes a contact etch stop layer and a dielectric layer is formed on the semiconductor substrate.
    Type: Application
    Filed: October 16, 2013
    Publication date: February 6, 2014
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Feng-Yi Chang, Yi-Po Lin, Jiunn-Hsiung Liao, Shang-Yuan Tsai, Chih-Wen Feng, Shui-Yen Lu, Ching-Pin Hsu
  • Publication number: 20140027821
    Abstract: Among other things, one or more techniques for enhancing device (e.g., transistor) performance are provided herein. In one embodiment, device performance is enhanced by forming an extended dummy region at an edge of a region of a device and forming an active region at a non-edge of the region. Limitations associated with semiconductor fabrication processing present in the extended dummy region more so than in non-edge regions. Accordingly, a device exhibiting enhanced performance is formed by connecting a gate to the active region, where the active region has a desired profile because it is comprised within a non-edge of the region. A dummy device (e.g., that may be less responsive) may be formed to include the extended dummy region, where the extended dummy region has a less than desired profile due to limitations associated with semiconductor fabrication processing, for example.
    Type: Application
    Filed: July 25, 2012
    Publication date: January 30, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Chang-Yu Wu, Chih-Chiang Chang, Shang-Chih Hsieh, Wei-Chih Hsieh
  • Patent number: 8637385
    Abstract: According to one exemplary embodiment, a method for fabricating a high voltage durability transistor comprises forming a gate over a gate oxide layer formed over a substrate, aligning an exposure mask with the gate, and selectively blocking exposure of the gate during gate implant doping, by exposure shields formed in the exposure mask, thereby producing the high voltage durability transistor. In one embodiment, an exemplary high voltage durability transistor comprises a gate formed over a gate oxide layer, the gate oxide layer being situated over a semiconductor substrate, where the gate has a reduced doping implant due to selective implant blocking provided by exposure shields formed in an exposure mask. The selective implant blocking results in an enhanced dielectric barrier so as to produce a high voltage durability transistor. The enhanced dielectric barrier has a depletion region with an increased thickness.
    Type: Grant
    Filed: August 24, 2007
    Date of Patent: January 28, 2014
    Assignee: Broadcom Corporation
    Inventors: Akira Ito, Henry KuoShun Chen
  • Patent number: 8637361
    Abstract: A semiconductor structure is provided, which includes multiple sections arranged along a longitudinal axis. Preferably, the semiconductor structure comprises a middle section and two terminal sections located at opposite ends of the middle section. A semiconductor core having a first dopant concentration preferably extends along the longitudinal axis through the middle section and the two terminal sections. A semiconductor shell having a second, higher dopant concentration preferably encircles a portion of the semiconductor core at the two terminal sections, but not at the middle section, of the semiconductor structure. It is particularly preferred that the semiconductor structure is a nanostructure having a cross-sectional dimension of not more than 100 nm.
    Type: Grant
    Filed: March 7, 2011
    Date of Patent: January 28, 2014
    Assignee: International Business Machines Corporation
    Inventors: Joerg Appenzeller, Supratik Guha, Emanuel Tutuc
  • Patent number: 8637384
    Abstract: Methods are disclosed to fabricate a transistor, for example a FinFET, by forming over a substrate at least one electrically conductive channel between a source region and a drain region; forming a gate structure to be disposed over a portion of the channel, the gate structure having a width and a length and a height defining two opposing sidewalls of the gate structure and being formed such that the channel said passes through the sidewalls; forming spacers on the sidewalls; forming a layer of epitaxial silicon over the channel; removing the spacers; and forming a dielectric layer to be disposed over the gate structure and portions of the channel that are external to the gate structure such that a capacitance-reducing air gap underlies the dielectric layer and is disposed adjacent to the sidewalls of said gate structure in a region formerly occupied by the spacers.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: January 28, 2014
    Assignee: International Business Machines Corporation
    Inventors: Takashi Ando, Josephine B. Chang, Sivananda K. Kanakasabapathy, Pranita Kulkarni, Theodorus E. Standaert, Tenko Yamashita
  • Publication number: 20140024206
    Abstract: A etchant composition that includes, based on a total weight of the etchant composition, about 0.5 wt % to about 20 wt % of a persulfate, about 0.5 wt % to about 0.9 wt % of an ammonium fluoride, about 1 wt % to about 10 wt % of an inorganic acid, about 0.5 wt % to about 5 wt % of a cyclic amine compound, about 0.1 wt % to about 10.0 wt % of a sulfonic acid, about 5 wt % to about 10 wt % of an organic acid or a salt thereof, and a remainder of water. The etchant composition may be configured to etch a metal layer including copper and titanium, to form a metal wire that may be included in a thin film transistor array panel of a display device.
    Type: Application
    Filed: December 18, 2012
    Publication date: January 23, 2014
    Applicants: DONGWOO FINE-CHEM CO., LTD., SAMSUNG DISPLAY CO., LTD.
    Inventors: In-Bae KIM, Jong-Hyun CHOUNG, Seon-Il KIM, Hong-Sick PARK, Wang Woo LEE, Jae-Woo JEONG, In Seol KUK, Sang-Tae KIM, Young-Chul PARK, Keyong Bo SHIM, In-Ho YU, Young-Jin YOON, Suck-Jun LEE, Joon-Woo LEE, Sang-Hoon JANG, Young-Jun JIN
  • Publication number: 20140021553
    Abstract: A method for fabricating a semiconductor device includes defining a curved active region by forming a plurality of trenches over a semiconductor substrate, forming an insulating layer to fill the plurality of trenches, and forming a pair of gate lines crossing the curved active region, so that it is possible to prevent leaning of an active region by forming a curved active region.
    Type: Application
    Filed: December 17, 2012
    Publication date: January 23, 2014
    Applicant: SK hynix Inc.
    Inventors: Hyo-Seok LEE, Seung-Jin YEOM, Sung-Won LIM
  • Publication number: 20140021532
    Abstract: Among other things, one or more techniques for forming a vertical tunnel field effect transistor (FET), and a resulting vertical tunnel FET are provided herein. In an embodiment, the vertical tunnel FET is formed by forming a core over a first type substrate region, forming a second type channel shell around a circumference greater than a core circumference, forming a gate dielectric around a circumference greater than the core circumference, forming a gate electrode around a circumference greater than the core circumference, and forming a second type region over a portion of the second type channel shell, where the second type has a doping opposite a doping of the first type. In this manner, line tunneling is enabled, thus providing enhanced tunneling efficiency for a vertical tunnel FET.
    Type: Application
    Filed: July 19, 2012
    Publication date: January 23, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Krishna Bhuwalka, Gerben Doornbos, Matthias Passlack
  • Publication number: 20140015068
    Abstract: The disclosure relates to a gate structure, a semiconductor device and methods for forming the same. An embodiment of the disclosure provides a method for forming a gate structure, including: providing a substrate; forming an interface layer on the substrate; forming a gate dielectric layer on the interface layer; forming a gate dielectric capping layer on the gate dielectric layer; forming an etching stop layer on the gate dielectric capping layer; forming an oxygen scavenging element layer on the etching stop layer; forming an oxygen scavenging element capping layer on the oxygen scavenging element layer; performing Post-Metallization Annealing; performing etching until the etching stop layer is exposed; forming a work function adjustment layer on the etching stop layer; and forming a gate layer on the work function adjustment layer.
    Type: Application
    Filed: July 24, 2012
    Publication date: January 16, 2014
    Inventors: Hong Yang, Wenwu Wang, Huaxiang Yin, Jiang Yan, Xueli Ma
  • Publication number: 20140015065
    Abstract: Various embodiments provide complementary metal-oxide-semiconductor (CMOS) devices and their fabrication methods. A semiconductor substrate is provided to include a first region to form a PMOS transistor and a second region to form an NMOS transistor. One of the first and second regions can include a metal gate structure having a metal top layer. The other of the first and second regions can include an interfacial oxide layer formed on a high-k dielectric layer. A surface of the metal top layer can be oxidized to form a metal oxide top layer covering the metal top layer. The metal oxide top layer and the interfacial oxide layer can be removed by wet etching. A metal gate can be formed on the high-k dielectric layer.
    Type: Application
    Filed: January 18, 2013
    Publication date: January 16, 2014
    Inventors: LEO LIU, ALLAN HE
  • Publication number: 20140015021
    Abstract: A method for fabricating floating body memory cells (FBCs), and the resultant FBCs where gates favoring different conductivity type regions are used is described. In one embodiment, a p type back gate with a thicker insulation is used with a thinner insulated n type front gate. Processing, which compensates for misalignment, which allows the different oxide and gate materials to be fabricated is described.
    Type: Application
    Filed: September 16, 2013
    Publication date: January 16, 2014
    Inventors: Peter L. D. Chang, Uygar E. Avci, David Kencke, Ibrahim Ban
  • Patent number: 8629046
    Abstract: A semiconductor device with bi-layer dislocation and method of fabricating the semiconductor device is disclosed. The exemplary semiconductor device and method for fabricating the semiconductor device enhance carrier mobility. The method includes providing a substrate having a gate stack. The method further includes performing a first pre-amorphous implantation process on the substrate and forming a first stress film over the substrate. The method also includes performing a first annealing process on the substrate and the first stress film. The method further includes performing a second pre-amorphous implantation process on the annealed substrate, forming a second stress film over the substrate and performing a second annealing process on the substrate and the second stress film.
    Type: Grant
    Filed: July 6, 2011
    Date of Patent: January 14, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chun Hsiung Tsai, Tsan-Chun Wang
  • Publication number: 20140011348
    Abstract: A system and method for mitigating annealing fingerprints in semiconductor wafers is provided. An embodiment comprises aligning the semiconductor wafers prior to each annealing step. This alignment generates similar or identical fingerprints in each of the semiconductor wafers manufactured. With the fingerprint known, a single compensation model for a subsequent photoresist may be utilized to compensate for the fingerprint in each of the semiconductor wafers.
    Type: Application
    Filed: July 9, 2012
    Publication date: January 9, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Sen-Hong Syue, Chung-Chun Ho, Pu-Fang Chen, Shiang-Bau Wang
  • Publication number: 20140011349
    Abstract: The present invention relates to a method for manufacturing a heterojunction semiconductor device including an AlGaN layer, the method including the steps of (a) forming a dummy electrode in a region where a gate electrode is arranged on the AlGaN layer, (b) depositing a dielectric film on the AlGaN layer by exposing side surfaces of the dummy electrode, using a device having anisotropy, (c) forming an opening in the dielectric film by removing the dummy electrode, and (d) forming the gate electrode that extends from inside the opening onto the dielectric film in a vicinity of the opening.
    Type: Application
    Filed: June 5, 2013
    Publication date: January 9, 2014
    Inventors: Hiroyuki OKAZAKI, Takuma Nanjo, Yosuke Suzuki, Akifumi Imai, Muneyoshi Suita, Eiji Yagyu