Having Viahole With Sidewall Component Patents (Class 438/639)
  • Patent number: 7585766
    Abstract: An integrated circuit (IC) may include a substrate, a first dielectric layer adjacent the substrate, and at least one trench in the first dielectric layer. The IC may also include a metal liner within the at least one trench, and a first conductive region including copper within the at least one trench. A cap layer including metal may be provided on the first conductive region. A second dielectric layer may be over the first conductive region and the cap layer. A dielectric etch stop and diffusion barrier layer may be over the second dielectric layer, and a via may be over the first conductive region and through the second dielectric layer and the cap layer. A diffusion barrier layer may be on sidewalls of the via, and an alloy seed layer including copper and at least one of tantalum, molybdenum, chromium, and tungsten may be over the diffusion barrier.
    Type: Grant
    Filed: March 26, 2008
    Date of Patent: September 8, 2009
    Inventor: James A. Cunningham
  • Patent number: 7575995
    Abstract: There are provided a method of forming a fine metal pattern and a method of forming a metal line using the same. In the method of forming a fine metal pattern, a substrate is prepared where a first interlayer insulating layer is formed. A via plug is formed on the first interlayer insulating layer. A plurality of sidewall buffer patterns are formed on the first interlayer insulating layer having the via plug, wherein the plurality of the sidewall buffer patterns are spaced apart from each other by a predetermined distance. The sidewall layer is deposited on the first interlayer insulating layer and the sidewall buffer patterns. The sidewall layer is etched such that sidewall patterns remains on sidewalls of the sidewall buffer patterns.
    Type: Grant
    Filed: December 29, 2005
    Date of Patent: August 18, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Kim Ki Yong
  • Patent number: 7576001
    Abstract: A semiconductor device manufacturing method for suppressing surface roughness of a Low-k insulating film during etching. In a laminated structure comprising a layer having formed thereon a lower copper wiring, a SiC film and a SiOC film, a via and an upper copper wiring are formed as follows. The SiOC film is etched to form a via hole opening that reaches the SiC film and then to form wiring grooves that communicate with the opening. Thereafter, when the SiC film on the bottom of the opening is etched to form a via hole, a deposited film of etching products is formed on surfaces of the via hole and the wiring grooves. This deposited film allows planarization of the SiOC film surface, which is exposed to plasma, formed thereon the via hole and the wiring grooves. Subsequently, formation of a Ta film and burying of plating copper are performed.
    Type: Grant
    Filed: July 18, 2005
    Date of Patent: August 18, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventor: Takatoshi Deguchi
  • Patent number: 7572729
    Abstract: A method of manufacturing semiconductor devices, including the steps of forming an insulating layer on a semiconductor substrate in which predetermined structures are formed, and etching the insulating layer to expose a predetermined region of the semiconductor substrate, thereby forming a contact hole, forming an insulating layer on the sides of the contact hole, and forming a conductive layer within the contact hole, forming a contact plug. It is possible to prevent a short problem by sufficiently securing a distance between a drain contact plug and a virtual power line.
    Type: Grant
    Filed: December 8, 2006
    Date of Patent: August 11, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Il Young Kwon
  • Patent number: 7572727
    Abstract: The present invention is a semiconductor contact formation system and method. Contact insulation regions are formed with multiple etch stop sublayers that facilitate formation of contacts. This contact formation process provides relatively small substrate connections while addressing critical lithographic printing limitation concerns in forming contact holes with small dimensions. In one embodiment, a multiple etch stop insulation layer comprising multiple etch stop layers is deposited. A contact region is formed in the multiple etch stop insulation layer by selectively removing (e.g., etching) some of the multiple etch stop insulation layer. In one embodiment, a larger portion of the multiple etch stop insulation layer is removed close to the metal layer and a smaller portion is removed closer to the substrate.
    Type: Grant
    Filed: September 2, 2004
    Date of Patent: August 11, 2009
    Assignee: Spansion LLC
    Inventors: Wenmei Li, Angela T. Hui, Dawn Hopper, Kouros Ghandehari
  • Patent number: 7569453
    Abstract: This invention relates to contact structures for use in integrated circuits and methods of fabricating contact structures. In one embodiment, a contact structure includes a conductive layer, one or more barrier layers formed above the conductive layer, and a barrier structure encircling the polysilicon layer and the one or more barrier layers. In an alternate embodiment, a contact structure is fabricated by forming a polysilicon layer on a substrate, forming a tungsten nitride layer above the polysilicon layer, and etching the polysilicon layer and the tungsten nitride layer to a level below the surface of a substrate structure. A silicon nitride layer is formed above the tungsten nitride layer, and a ruthenium silicide layer is formed above the silicon nitride layer. The ruthenium silicide layer is then polished.
    Type: Grant
    Filed: August 29, 2005
    Date of Patent: August 4, 2009
    Assignee: Micron Technology, Inc.
    Inventor: Fred Fishburn
  • Patent number: 7560375
    Abstract: Methods of forming a gas dielectric structure for a semiconductor structure by using a sacrificial layer. In particular, one embodiment of the invention includes forming an opening for semiconductor structure in a dielectric layer on a substrate; depositing a sacrificial layer over the opening; performing a directional etch on the sacrificial layer to form a sacrificial layer sidewall on the opening; depositing a conductive liner over the opening; depositing a metal in the opening; planarizing the metal and the conductive liner; removing the sacrificial layer sidewall to form a void; and depositing a cap layer over the void to form the gas dielectric structure. The invention is easily implemented in damascene wire formation processes, and improves structural stability.
    Type: Grant
    Filed: September 30, 2004
    Date of Patent: July 14, 2009
    Assignee: International Business Machines Corporation
    Inventors: Ronald G. Filippi, Roy C. Iggulden, Edward W. Kiewra, Ping-Chuan Wang
  • Patent number: 7550379
    Abstract: In a method to produce an alignment mark, an oxide layer and sacrificial layer are processed to comprise recesses. The recesses are filled with a filler material. During filling the recesses, a layer of filler material is formed on the sacrificial layer. The layer of filler material is removed by chemical mechanical polishing. The sacrificial layer protects the oxide layer during filling the recesses and removing the layer of filler material. The sacrificial layer is then removed by etching. This provides an unscratched oxide layer with protrusions. The oxide layer with protrusions is covered with a conducting layer whereby the protrusions punch through the oxide layer to form related protrusions. The related protrusions form an alignment mark.
    Type: Grant
    Filed: October 10, 2006
    Date of Patent: June 23, 2009
    Assignee: ASML Netherlands B.V.
    Inventors: Richard Johannes Franciscus Van Haren, Everhardus Cornelis Mos
  • Patent number: 7544608
    Abstract: A method for manufacturing a structure includes depositing a dense dielectric over the entire wafer, which includes areas that require low dielectric capacitance and areas that require high mechanical strength. The method further includes masking areas of the dense dielectric over the areas that require high mechanical strength and curing unmasked areas of the dense dielectric to burn out porogens inside the dense dielectric and transform the unmasked areas of the dense dielectric to porous dielectric material. A semiconductor structure comprises porous and dense hybrid interconnects for high performance and reliability semiconductor applications.
    Type: Grant
    Filed: July 19, 2006
    Date of Patent: June 9, 2009
    Assignee: International Business Machines Corporation
    Inventors: Chih-Chao Yang, Keith Kwong Hon Wong, Haining Yang
  • Patent number: 7544605
    Abstract: A method of forming a semiconductor device includes forming active circuitry over a semiconductor substrate, wherein the semiconductor substrate has a first major surface and a second major surface and the first active circuitry is formed over the first major surface of the semiconductor substrate. A via is formed within the first semiconductor substrate, wherein the via extends from the first active circuitry to the second major surface of the first semiconductor substrate. A dielectric layer is formed over the second major surface and adjacent the first via. The dielectric layer may include nitrogen and silicon and may be formed by a low pressure, low temperature, or both plasma process.
    Type: Grant
    Filed: November 21, 2006
    Date of Patent: June 9, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Terry G. Sparks, Shahid Rauf
  • Patent number: 7538037
    Abstract: A method for manufacturing a semiconductor device includes forming a predetermined structure including a first inorganic insulating film covering a copper interconnection, an organic insulating film formed above the first inorganic insulating film and having a hole pattern, and a second inorganic insulating film formed above the organic insulating film and having a trench pattern, dry etching the first inorganic insulating film by an etching gas containing a fluorocarbon family gas, using the organic insulating film having the hole pattern as a mask, to form a through-hole reaching the copper interconnection, and performing a plasma treatment using a mixed gas of an oxygen gas and a hydrocarbon gas, thereby removing fluorine remaining on a surface of the copper interconnection exposed by the through-hole, and thereby dry etching the organic insulating film using the second inorganic insulating film having the trench pattern as a mask.
    Type: Grant
    Filed: August 17, 2007
    Date of Patent: May 26, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Akihiro Takase
  • Patent number: 7538028
    Abstract: A barrier layer forming method includes providing a porous dielectric layer over a substrate, the dielectric layer having a surface with exposed pores, and treating the dielectric layer with a plasma formed from a methane-containing gas. The treating seals the exposed pores. The method includes depositing a barrier layer over the surface, the barrier layer being continuous over the sealed pores. The porous dielectric may be low K. The plasma may be formed at a bias of at least about 100 volts.
    Type: Grant
    Filed: July 31, 2006
    Date of Patent: May 26, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandu, Bradley J. Howard
  • Patent number: 7534722
    Abstract: A method performed on a semiconductor chip having a doped semiconductor material abutting a substrate involves creating a first via through at least a portion of the substrate extending from an outer side of the substrate towards the doped semiconductor material, the first via having a wall surface and a bottom, introducing a first electrically conductive material into the first via so as to create an electrically conductive path, creating a second via, aligned with the first via, extending from an outer surface of the doped portion of the semiconductor chip to the bottom, and introducing a second electrically conductive material into the second via so as to create an electrically conductive path.
    Type: Grant
    Filed: January 10, 2006
    Date of Patent: May 19, 2009
    Inventor: John Trezza
  • Patent number: 7531450
    Abstract: Provided is a method of fabricating a semiconductor device having a contact hole with a high aspect-ratio. The method includes: sequentially forming a lower pattern and an upper layer on a semiconductor substrate; sequentially forming a lower mask layer and an upper mask layer on the upper layer; sequentially patterning the lower and upper mask layers to form a hole exposing a top surface of the upper layer on the lower pattern; using the upper mask layer as an etching mask to anisotropically etch the exposed top surface to form an upper contact hole exposing a top surface of the lower pattern; and using the lower mask layer as an etching mask to anisotropically etch the exposed lower pattern to form a lower contact hole in the lower pattern, the lower contact hole extending from the upper contact hole.
    Type: Grant
    Filed: June 7, 2007
    Date of Patent: May 12, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yun-Seung Kang, Jun Seo, Min-Chul Chae, Jae-Seung Hwang, Sung-Un Kwon, Woo-Jin Cho
  • Patent number: 7528493
    Abstract: A damascene wire and method of forming the wire. The method including: forming a mask layer on a top surface of a dielectric layer; forming an opening in the mask layer; forming a trench in the dielectric layer where the dielectric layer is not protected by the mask layer; recessing the sidewalls of the trench under the mask layer; forming a conformal conductive liner on all exposed surface of the trench and the mask layer; filling the trench with a core electrical conductor; removing portions of the conductive liner extending above the top surface of the dielectric layer and removing the mask layer; and forming a conductive cap on a top surface of the core conductor. The structure includes a core conductor clad in a conductive liner and a conductive capping layer in contact with the top surface of the core conductor that is not covered by the conductive liner.
    Type: Grant
    Filed: September 25, 2007
    Date of Patent: May 5, 2009
    Assignee: International Business Machines Corporation
    Inventors: Chih-Chao Yang, Lawrence A. Clevenger, Andrew P. Cowley, Timothy J. Dalton, Meeyoung H. Yoon
  • Patent number: 7524756
    Abstract: A contact structure and a method of forming thereof for semiconductor devices or assemblies are described. The method provides process steps to create a contact structure encompassed by a sacrificial contact medium having an opening therein that is lined with a conductive spacer liner that effectively prevents the contact structure from being damaged during removal of the surrounding sacrificial contact medium material. The sacrificial contact medium is then replaced with a non-boron doped dielectric material.
    Type: Grant
    Filed: July 28, 2006
    Date of Patent: April 28, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Grant S. Huglin, Robert J. Burke, Sanh D. Tang
  • Patent number: 7521348
    Abstract: A method for fabricating a semiconductor device having fine contact holes is exemplarily disclosed. The method includes forming an isolation layer defining active regions on a semiconductor substrate. An interlayer dielectric layer is formed on the semiconductor substrate having the isolation layer. First molding patterns are formed on the interlayer dielectric layer. Second molding patterns positioned between the first molding patterns and spaced apart therefrom are also formed. A mask pattern surrounding sidewalls of the first and second molding patterns is formed. Openings are formed by removing the first and second molding patterns. Contact holes are formed by etching the interlayer dielectric layer using the mask pattern as an etching mask.
    Type: Grant
    Filed: October 12, 2007
    Date of Patent: April 21, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-Hyun Kwon, Jae-Hwang Sim, Dong-Hwa Kwak, Joo-Young Kim
  • Publication number: 20090096108
    Abstract: Methods and a structure. A method of forming contact structure includes depositing a silicide layer onto a substrate; depositing an electrically insulating layer over a first surface of the silicide layer; forming a via through the insulating layer extending to the first surface; depositing an electrically conductive layer covering a bottom and at least one vertical wall of the via; removing the conductive layer from the bottom; and filling the via with aluminum directly contacting the silicide layer. A structure includes: a silicide layer disposed on a substrate; an electrically insulating layer disposed over the silicide layer; an aluminum plug extending through the insulating layer and directly contacting the silicide layer; and an electrically conductive layer disposed between the plug and the insulating layer. Also included is a method where an aluminum layer grows selectively from a silicide layer and at least one sidewall of a trench.
    Type: Application
    Filed: October 11, 2007
    Publication date: April 16, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Ying Li, Keith Kwong Hon Wong, Chih-Chao Yang
  • Patent number: 7517736
    Abstract: Methods are provided that enable the ability to use a less aggressive liner processes, while producing structures known to give a desired high stress migration and electro-migration reliability. The present invention circumvents the issue of sputter damage of low k (on the order of 3.2 or less) dielectric by creating the via “anchors” (interlocked and interpenetrated vias) through chemical means. This allows the elimination or significant reduction of the sputter-etching process used to create the via penetration (“drilling, gouging”) into the line below in the barrier/seed metallization step. The present invention achieves the above, while maintaining a reliable copper fill and device structure.
    Type: Grant
    Filed: February 15, 2006
    Date of Patent: April 14, 2009
    Assignee: International Business Machines Corporation
    Inventors: Sanjay C. Mehta, Daniel C. Edelstein, John A. Fitzsimmons, Stephan Grunow, Henry A. Nye, III, David L. Rath
  • Patent number: 7510965
    Abstract: A method for fabricating a dual damascene structure contains providing a substrate having a conductive layer, an etching stop layer, a dielectric layer, and a photoresist layer thereon, performing an etching process to remove a portion of the dielectric layer through a via pattern of the photoresist layer for forming a via structure in the dielectric layer, providing CO-containing gas to perform an ash process, filling GFP materials into the via structure, forming a photoresist layer with a trench pattern on the substrate, etching the dielectric layer through the trench pattern to form a trench structure in the dielectric layer, above the via structure, and removing the etching stop layer exposed in the via structure.
    Type: Grant
    Filed: November 30, 2006
    Date of Patent: March 31, 2009
    Assignee: United Microelectronics Corp.
    Inventor: Hong Ma
  • Patent number: 7510959
    Abstract: A method of manufacturing a semiconductor device having damascene structures with air gaps is provided. In one embodiment, the method comprises the steps of depositing and patterning a disposable layer, depositing a first barrier layer on top of the patterned disposable layer, depositing a metal layer, planarizing the metal layer, depositing a second barrier layer, planarizing the second barrier layer until substantially no barrier layer material is present on top of the disposable layer, depositing a permeable layer, removing the disposable layer through the permeable layer to form air gaps.
    Type: Grant
    Filed: March 16, 2005
    Date of Patent: March 31, 2009
    Assignees: Interuniversitair Microelektronica Centrum (IMEC), Koninklikje Phillips Electronics
    Inventors: Roel Daamen, Viet Nguyen Hoang
  • Patent number: 7504334
    Abstract: Embodiments relate to a semiconductor device and a manufacturing method thereof. In embodiments, the semiconductor device may include a semiconductor substrate formed thereon with a first metal line, a PMD (pre metal dielectric) layer formed on the semiconductor substrate and having first and second contact holes, a first metal layer formed in the first contact hole; a second metal layer formed in the second contact hole, and a second metal line formed on the PMD layer and connected to the first and second metal layers, respectively.
    Type: Grant
    Filed: December 12, 2006
    Date of Patent: March 17, 2009
    Assignee: Dorigbu HiTek Co., Ltd.
    Inventor: Keun Soo Park
  • Patent number: 7504287
    Abstract: A method is provided for fabricating a semiconductor device which includes a first contact point and a second contact point located above the first contact point. A first material layer is conformally deposited over the contact points, and a second material layer is deposited. A photoresist layer is applied and patterned to leave remaining portions. The remaining portions are trimmed to produce trimmed remaining portions which overlie eventual contact holes to the contact points. Using the trimmed remaining portions as an etch mask, exposed portions the second material layer are etched away to leave sacrificial plugs. The sacrificial plugs are etched away to form contact holes that reach portions the first material layers. Another etching step is performed to extend the contact holes to produce final contact holes that extend to the contact points.
    Type: Grant
    Filed: March 22, 2007
    Date of Patent: March 17, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Sven Beyer, Kamatchi Subramanian
  • Patent number: 7498254
    Abstract: An interconnect structure which includes a plating seed layer that has enhanced conductive material, preferably, Cu, diffusion properties is provided that eliminates the need for utilizing separate diffusion and seed layers. Specifically, the present invention provides an oxygen/nitrogen transition region within a plating seed layer for interconnect metal diffusion enhancement. The plating seed layer may include Ru, Ir or alloys thereof and the interconnect conductive material may include Cu, Al, AlCu, W, Ag, Au and the like. Preferably, the interconnect conductive material is Cu or AlCu. In more specific terms, the present invention provides a single seeding layer which includes an oxygen/nitrogen transition region sandwiched between top and bottom seed regions. The presence of the oxygen/nitrogen transition region within the plating seed layer dramatically enhances the diffusion barrier resistance of the plating seed.
    Type: Grant
    Filed: March 6, 2007
    Date of Patent: March 3, 2009
    Assignee: International Business Machines Corporation
    Inventors: Chih-Chao Yang, Simon Gaudet, Christian Lavoie, Shom Ponoth, Terry A. Spooner
  • Patent number: 7494922
    Abstract: A method of manufacturing a memory cell is disclosed. In one embodiment, the method includes forming an electrode including an outer surface that is substantially circular and an exposed surface that has a sublithographic dimension in a direction parallel to the exposed surface. Further, the method may also include forming a layer of phase change material coupled to the exposed surface of the electrode. Various semiconductor devices and additional methods of manufacturing memory cells are also provided.
    Type: Grant
    Filed: September 25, 2007
    Date of Patent: February 24, 2009
    Assignee: Micron Technology, Inc.
    Inventor: Russell C. Zahorik
  • Patent number: 7491641
    Abstract: This invention includes methods of forming conductive lines, and methods of forming conductive contacts adjacent conductive lines. In one implementation, a method of forming a conductive line includes forming a conductive line within an elongated trench within first insulative material over a semiconductive substrate. The conductive line is laterally spaced from opposing first insulative material sidewall surfaces of the trench. The conductive line includes a second conductive material received over a different first conductive material. The second conductive material is recessed relative to an elevationally outer surface of the first insulative material proximate the trench. A second insulative material different from the first insulative material is formed within the trench over a top surface of the conductive line and within laterally opposing spaces received between the first insulative material and the conductive line.
    Type: Grant
    Filed: April 27, 2006
    Date of Patent: February 17, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Scott A. Southwick, Alex J. Schrinsky, Terrence B. McDaniel
  • Patent number: 7485587
    Abstract: A semiconductor device and fabrication process wherein the device includes a conductive layer with a localized thick region positioned below the contact hole. In one embodiment of the invention, the thick region to which contact is made is formed by means of an opening in an underlayer of material. This embodiment of the device includes an underlayer of material having an opening therein; a layer of thin conductive material formed on the underlayer and in the opening; an overlayer of material having a contact hole therethrough formed on the layer of thin conductive material; a conductor contacting the layer of thin conductive material through the contact hole; and wherein the opening in the underlayer is positioned below the contact hole and sized and shaped to form a localized thick region in the layer of thin conductive material within the opening.
    Type: Grant
    Filed: January 16, 1998
    Date of Patent: February 3, 2009
    Assignee: Micron Technology, Inc.
    Inventor: Howard E. Rhodes
  • Patent number: 7485578
    Abstract: Embodiments relate to a semiconductor device and a method of fabricating semiconductor device, that may uniformly form a barrier layer in a via hole to thus prevent layers from being broken. In embodiments, a method of fabricating a semiconductor device may include forming an interlayer dielectric layer on a substrate, forming via holes selectively on the interlayer dielectric layer, forming a first metal layer on a top surface of the substrate including inner portion of the via hole, forming spacers on sides of the via holes by etching back the first metal layer, forming a second metal layer on the substrate including the spacer, and forming a tungsten layer by depositing tungsten on the second metal layer.
    Type: Grant
    Filed: December 28, 2006
    Date of Patent: February 3, 2009
    Assignee: Dongbu HiTek Co. Ltd.
    Inventor: Keun Soo Park
  • Patent number: 7482694
    Abstract: A technique is provided for protecting an interlayer insulating film formed of an organic low dielectric constant material from any damage applied in a semiconductor process, and for attaining the decrease leak current in the interlayer insulating film, resulting in the improvement of reliability of a semiconductor device. The semiconductor device according to the present invention has an organic insulating films having openings. The organic insulating films have modified portions facing the openings. The modified portions contains fluorine atoms and nitrogen atoms. The concentration of the fluorine atoms in the modified portions is lower than the concentration of the nitrogen atoms. The above-mentioned modified layers protect the semiconductor device from the damage applied in the semiconductor process, while suppressing the corrosion of the conductors embedded in the openings.
    Type: Grant
    Filed: March 31, 2003
    Date of Patent: January 27, 2009
    Assignee: NEC Coporation
    Inventors: Hiroto Ohtake, Munehiro Tada, Yoshimichi Harada, Ken′ichiro Hijioka, Shinobu Saitoh, Yoshihiro Hayashi
  • Publication number: 20090014886
    Abstract: The invention provides a dynamic random access memory (DRAM) with an electrostatic discharge (ESD) region. The upper portion of the ESD plug is metal, and the lower portion of the ESD plug is polysilicon. This structure may improve the mechanical strength of the ESD region and enhance thermal conductivity from electrostatic discharging. In addition, the contact area between the ESD plugs and the substrate can be reduced without increasing aspect ratio of the ESD plugs. The described structure is completed by a low critical dimension controlled patterned photoresist, such that the processes and equipments are substantially maintained without changing by a wide margin.
    Type: Application
    Filed: December 5, 2007
    Publication date: January 15, 2009
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventors: Ching-Nan Hsiao, Ying-Cheng Chuang, Chung-Lin Huang, Shih-Yang Chiu
  • Publication number: 20090017576
    Abstract: Some embodiments include methods of forming semiconductor constructions in which a semiconductor material sidewall is along an opening, a protective organic material is over at least one semiconductor material surface, and the semiconductor material sidewall and protective organic material are both exposed to an etch utilizing at least one fluorine-containing composition. The etch is selective for the semiconductor material relative to the organic material, and reduces sharpness of at least one projection along the semiconductor material sidewall. In some embodiments, the opening is a through wafer opening, and subsequent processing forms one or more materials within such through wafer opening to form a through wafer interconnect. In some embodiments, the opening extends to a sensor array, and the protective organic material is comprised by a microlens system over the sensor array. Subsequent processing may form a macrolens structure across the opening.
    Type: Application
    Filed: July 9, 2007
    Publication date: January 15, 2009
    Inventors: Swarnal Borthakur, Richard L. Stocks
  • Patent number: 7476614
    Abstract: A method of fabricating a semiconductor device comprises sequentially forming a first conductive layer, a first insulating interlayer, a second conductive layer, and a second insulating interlayer on a semiconductor substrate. A mask layer is formed on the second insulating interlayer, and then the second insulating interlayer, the second conductive layer, and the first insulating interlayer are selectively removed using the mask layer as an etch mask to form a contact hole exposing the first conductive layer. Portions of the second conductive layer exposed in sidewalls of the contact hole are then selectively etched to form a recess between the first and second insulating interlayers. Next, a third conductive layer is formed on a bottom surface and on sidewalls of the contact hole, a metal silicide layer is formed to fill the recess, and a fourth conductive layer is formed to fill the contact hole over the metal silicide layer.
    Type: Grant
    Filed: July 24, 2006
    Date of Patent: January 13, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Byung-Ho Kwak, Bum-Soo Chang
  • Patent number: 7476610
    Abstract: A method for forming semiconductor devices is provided. A gate stack is formed over a surface of a substrate. A plurality of cycles for forming polymer spacers on sides of the gate stack is provided, where each cycle comprises providing a deposition phase that deposits material on the sides of the polymer spacer and over the surface of the substrate, and providing a cleaning phase that removes polymer over the surface of the substrate and shapes a profile of the deposited material. Dopant is implanted into the substrate using the polymer spacers as a dopant mask. The polymer spacers are removed.
    Type: Grant
    Filed: November 10, 2006
    Date of Patent: January 13, 2009
    Assignee: Lam Research Corporation
    Inventors: Ji Soo Kim, Conan Chiang, Daehan Choi, S. M. Reza Sadjadi, Michael Goss
  • Patent number: 7473636
    Abstract: In the back end of an integrated circuit employing dual-damascene interconnects, the interconnect members have a first non-conformal liner that has a thicker portion at the top of the trench level of the interconnect; and a conformal second liner that combines with the first liner to block diffusion of the metal fill material.
    Type: Grant
    Filed: January 12, 2006
    Date of Patent: January 6, 2009
    Assignee: International Business Machines Corporation
    Inventors: Kaushik Chanda, James J. Demarest, Ronald G. Filippi, Roy C. Iggulden, Edward W. Kiewra, Vincent J. McGahay, Ping-Chuan Wang, Yun-Yu Wang
  • Patent number: 7470989
    Abstract: This invention pertains to electronic/optoelectronic devices with reduced extended defects and to a method for making it. The device includes a substrate, a semiconductor active material deposited on said substrate, and electrical contacts. The semiconductor active material defines raised structures having atomically smooth surfaces. The method includes the steps of depositing a dielectric thin film mask material on a semiconductor substrate surface; patterning the mask material to form openings therein extending to the substrate surface; growing active material in the openings; removing the mask material to form the device with reduced extended defect density; and depositing electrical contacts on the device.
    Type: Grant
    Filed: August 2, 2006
    Date of Patent: December 30, 2008
    Assignee: The United States of America as represented by The Secretary of the Navy
    Inventors: Richard L Henry, Martin C Peckerar, Daniel D Koleske, Alma E Wickenden, Charles R Eddy, Jr., Ronald T Holm, Mark E Twigg
  • Patent number: 7459384
    Abstract: Methods for preventing cavitation in high aspect ratio dielectric regions in a semiconductor device, and the device so formed, are disclosed. The invention includes depositing a first dielectric in the high aspect ratio dielectric region between a pair of structures, and then removing the first dielectric to form a bearing surface adjacent each structure. The bearing surface prevents cavitation of the interlayer dielectric that subsequently fills the high aspect ratio region.
    Type: Grant
    Filed: June 28, 2004
    Date of Patent: December 2, 2008
    Assignee: International Business Machines Corporation
    Inventors: Paul D. Agnello, Rajeev Malik, K. Paul Muller
  • Patent number: 7453141
    Abstract: A semiconductor device package is provided which can achieve speeding-up thereof. The semiconductor device package includes: a board which has at least one of a ground plane and a power plane; at least one connecting conductor portion which is formed on an inner wall surface of an opening portion of the board and electrically connected to the corresponding plane; at least one bonding pattern which is formed on a front surface layer portion of the board in the vicinity of an edge of the opening portion, and connected to the corresponding connecting conductor portion; and a second external connection portion which is formed on the side of the front surface layer of the board, and electrically connected to the corresponding plane, respectively, through a through-hole conductor portion formed in the board.
    Type: Grant
    Filed: February 15, 2006
    Date of Patent: November 18, 2008
    Assignee: Shinko Electric Industries Co., Ltd.
    Inventors: Hiroshi Miyagawa, Mitsuhiro Otagiri
  • Patent number: 7446047
    Abstract: A passivated metal structure and a method of forming the metal structure is disclosed. According to one embodiment, the patterned metal structure, such as conductive lines, are formed on a substrate. The copper lines are passivated by a polymer liner between the copper lines and a low k dielectric filling the spaces between the conductive lines. The polymer liner is preferably deposited on the sidewalls of the conductive lines by electro-grafting. The polymer liner may also be used in a damascene process according to a second embodiment.
    Type: Grant
    Filed: February 18, 2005
    Date of Patent: November 4, 2008
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Minghsing Tsai, Yung-Cheng Lu
  • Patent number: 7439144
    Abstract: A sidewall image transfer process for forming sub-lithographic structures employs a layer of sacrificial polymer containing silicon that is deposited over a gate conductor layer and covered by a cover layer. The sacrificial polymer layer is patterned with conventional resist and etched to form a sacrificial mandrel. The edges of the mandrel are oxidized or nitrided in a plasma at low temperature, after which the polymer and the cover layer are stripped, leaving sublithographic sidewalls. The sidewalls are used as hardmasks to etch sublithographic gate structures in the gate conductor layer.
    Type: Grant
    Filed: February 16, 2006
    Date of Patent: October 21, 2008
    Assignee: International Business Machines Corporation
    Inventors: Bruce B. Doris, Toshiharu Furukawa, Mark C. Hakey, Steven J. Holmes, David V. Horak, Charles W. Koburger, III
  • Patent number: 7435679
    Abstract: Apparatus and methods of fabricating a microelectronic interconnect having an underlayer which acts as both a barrier layer and a seed layer. The underlayer is formed by co-depositing a noble metal and a barrier material, such as a refractory metal, or formed during thermal post-treatment, such as thermal annealing, conducted after two separately depositing the noble metal and the barrier material, which are substantially soluble in one another. The use of a barrier material within the underlayer prevents the electromigration of the interconnect conductive material and the use of noble material within the underlayer allows for the direct plating of the interconnect conductive material.
    Type: Grant
    Filed: December 7, 2004
    Date of Patent: October 14, 2008
    Assignee: Intel Corporation
    Inventors: Steven W. Johnston, Juan E. Dominguez
  • Patent number: 7435673
    Abstract: Methods of forming metal interconnect structures include forming a first electrically insulating layer on a semiconductor substrate and forming a second electrically insulating layer on the first electrically insulating layer. The second and first electrically insulating layers are selectively etched in sequence to define a contact hole therein. A first metal layer (e.g., tungsten) is deposited. This first metal layer extends on the second electrically insulating layer and into the contact hole. The first metal layer is then patterned to expose the second electrically insulating layer. The second electrically insulating layer is selectively etched for a sufficient duration to expose the first electrically insulating layer and expose a metal plug within the contact hole. This selective etching step is performed using the patterned first metal layer as an etching mask. A seam within the exposed metal plug is then filled with an electrically conductive filler material (e.g., CoWP).
    Type: Grant
    Filed: September 28, 2005
    Date of Patent: October 14, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyoung Woo Lee, Ja-Hum Ku, Duk Ho Hong, Wan Jae Park
  • Patent number: 7435676
    Abstract: Interconnect structures possessing an organosilicate glass interlayer dielectric material with minimal stoichiometeric modification and optionally an intact organic adhesion promoter for use in semiconductor devices are provided herein. The interconnect structure is capable of delivering improved device performance, functionality and reliability owing to the reduced effective dielectric constant of the stack compared with that of those conventionally employed because of the use of a sacrificial polymeric material deposited onto the dielectric and optional organic adhesion promoter during the barrier open step done prior to ashing the patterning material. This sacrificial film protects the dielectric and optional organic adhesion promoter from modification/consumption during the subsequent ashing step during which the polymeric film is removed.
    Type: Grant
    Filed: January 10, 2006
    Date of Patent: October 14, 2008
    Assignee: International Business Machines Corporation
    Inventors: Timothy J. Dalton, Nicholas C. Fuller, Satyanarayana V. Nitta
  • Publication number: 20080220608
    Abstract: The present invention provides an interconnect structure that can be made in the BEOL which exhibits good mechanical contact during normal chip operations and does not fail during various reliability tests as compared with the conventional interconnect structures described above. The inventive interconnect structure has a kinked interface at the bottom of a via that is located within an interlayer dielectric layer. Specifically, the inventive interconnect structure includes a first dielectric layer having at least one metallic interconnect embedded within a surface thereof; a second dielectric layer located atop the first dielectric layer, wherein said second dielectric layer has at least one aperture having an upper line region and a lower via region, wherein the lower via region includes a kinked interface; at least one pair of liners located on at least vertical walls of the at least one aperture; and a conductive material filling the at least one aperture.
    Type: Application
    Filed: May 15, 2008
    Publication date: September 11, 2008
    Applicant: International Business Machines Corporation
    Inventors: Lawrence A. Clevenger, Timothy Joseph Dalton, Louis C. Hsu, Conal Eugene Murray, Carl Radens, Kwong-Hon Wong, Chih-Chao Yang
  • Publication number: 20080217782
    Abstract: A method which is intended to facilitate and/or simplify the process of fabricating interlayer vias by selective modification of the FEOL film stack on a transfer wafer is provided. Specifically, the present invention provides a method in which two dimensional devices are prepared for subsequent integration in a third dimension at the transition between normal FEOL processes by using an existing interlayer contact mask to define regions in which layers of undesirable dielectrics and metal are selectively removed and refilled with a middle-of-the-line (MOL) compatible dielectric film. As presented, the inventive method is compatible with standard FEOL/MOL integration schemes, and it guarantees a homogeneous dielectric film stack specifically in areas where interlayer contacts are to be formed, thus allowing the option of a straightforward integration path, if desired.
    Type: Application
    Filed: March 6, 2007
    Publication date: September 11, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: David J. Frank, Douglas C. La Tulipe, Leathen Shi, Steven E. Steen, Anna W. Topol
  • Publication number: 20080211106
    Abstract: A method for forming a semiconductor structure includes forming a dielectric layer over a substrate. A first non-conductive barrier layer is formed over the dielectric layer. At least one opening is formed through the first non-conductive barrier layer and within the dielectric layer. A second non-conductive barrier layer is formed over the first non-conductive barrier layer and within the opening. At least a portion of the second non-conductive barrier layer is removed, thereby at least partially exposing a top surface of the first non-conductive barrier layer and a bottom surface of the opening, with the second non-conductive barrier layer remaining on sidewalls of the opening. A seed layer and conductive layer is then formed and a single polishing operation removes the seed layer and conductive layer.
    Type: Application
    Filed: March 1, 2007
    Publication date: September 4, 2008
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Shih-Chieh Chang, Ying-Lang Wang, Kei-Wei Chen, Jung-Chih Tsao, Yu-Sheng Wang
  • Patent number: 7410892
    Abstract: An integrated circuit device, e.g., a memory device, includes a substrate, a first insulation layer on the substrate, and a contact pad disposed in the first insulation layer in direct contact with the substrate. A second insulation layer is disposed on the first insulation layer. A conductive pattern, e.g., a damascene bit line, is disposed in the second insulation layer. A conductive plug extends through the second insulation layer to contact the contact pad and is self-aligned to the conductive pattern. An insulation film may separate the conductive pattern and the conductive plug. A glue layer may be disposed between the conductive pattern and the second insulation layer. The device may further include a third insulation layer on the second insulation layer and the conductive pattern, and the conductive plug may extend through the second and third insulation layers.
    Type: Grant
    Filed: March 15, 2006
    Date of Patent: August 12, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hee-Sook Park, Gil-Heyun Choi, Sang-Bom Kang, Kwang-Jin Moon, Hyun-Su Kim, Seung-Gil Yang
  • Publication number: 20080182407
    Abstract: A via is formed in contact with a conductive line, whereby the via is offset from the conductive line so that the via extends beyond the conductive line. In accordance with a specific embodiment, a portion of the via contacts a sidewall of the conductive line.
    Type: Application
    Filed: January 31, 2007
    Publication date: July 31, 2008
    Applicant: ADVANCED MICRO DEVICES, INC.
    Inventors: Jun Zhai, Christy Woo, Kok-Yong Yiang, Paul R. Besser, Richard C. Blish, Christine Hau-Reige
  • Publication number: 20080179755
    Abstract: A process and structure for enabling the creation of reliable electrical through-via connections in a semiconductor substrate and a process for filling vias. Problems associated with under etch, over etch and flaring of deep Si RIE etched through-vias are mitigated, thereby vastly improving the integrity of the insulation and metallization layers used to convert the through-vias into highly conductive pathways across the Si wafer thickness. By using an insulating collar structure in the substrate in one case and by filling the via in accordance with the invention in another case, whole wafer yield of electrically conductive through vias is greatly enhanced.
    Type: Application
    Filed: January 31, 2007
    Publication date: July 31, 2008
    Inventors: Paul S. Andry, L. Paivikki Buchwalter, Anurag Jain, John U. Knickerbocker, Edmund J. Sprogis, Michelle L. Steen, Cornelia K. Tsang
  • Patent number: 7402515
    Abstract: A method of forming a via having a stress buffer collar, wherein the stress buffer collar can absorb stress resulting from a mismatch in the coefficients of thermal expansion of the surrounding materials. Other embodiments are described and claimed.
    Type: Grant
    Filed: June 28, 2005
    Date of Patent: July 22, 2008
    Assignee: Intel Corporation
    Inventors: Leonel R. Arana, Devendra Natekar, Michael Newman, Charan K. Gurumurthy
  • Patent number: 7402514
    Abstract: An embodiment of the instant invention is a method of providing a connection between a first conductor and a second conductor wherein the first conductor is situated under the second conductor and separated by a first insulating layer, the method comprising the steps of: forming an opening in the first insulating layer (layer 124 or 128 of FIGS. 1-4), the opening having a top, a bottom and sidewalls and is situated between the first conductor and the second conductor; forming a second insulating layer (layer 134, 138, and 142 of FIGS. 3 and 4) exclusively on the sidewalls of the opening thereby leaving a smaller opening in the first insulating layer; forming a conductive material (material 140 of FIGS. 3 and 4) in the smaller opening; and wherein the first insulating layer is comprised of a low-k material and the second insulating layer is comprised of an insulator which has electrical leakage properties which are less than the electrical leakage properties of the first insulating layer.
    Type: Grant
    Filed: January 24, 2003
    Date of Patent: July 22, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Robert Tsu, Joe W. McPherson, William R. McKee, Thomas Bonifield