Including Test Pattern Generator Patents (Class 714/738)
  • Patent number: 7774668
    Abstract: A method for monitoring a test case generator system by detecting non-reproducible pseudo-random test cases, comprising: building a first pseudo-random test case having a first sequence of seeds comprising a first starting seed and a first ending seed through the test case generator system; reproducing the first sequence of seeds of the first pseudo-random test case by building a second pseudo-random test case having a second sequence of seeds comprising a second starting seed and a second ending seed through the test case generator system when the test case generator system is operating in a reproduction mode, the first starting seed being used as the second starting seed of the second sequence of seeds; and comparing the first ending seed in the first sequence of seeds to the second ending seed in the second sequence of seeds.
    Type: Grant
    Filed: February 28, 2008
    Date of Patent: August 10, 2010
    Assignee: International Business Machines Corporation
    Inventor: Ali Y. Duale
  • Patent number: 7774669
    Abstract: The present invention provides systems, devices and methods for generating user-defined test patterns within serial controller to facilitate signal testing and verification. These user-defined test patterns may be generated to more accurately reflect the actual traffic of a device-under-test or system, as well as allow a test engineer to more accurately test the boundaries of the device or system. In various embodiments of the invention, a programmable patterns generator is provided for generating user-defined test patterns that may be used during a testing procedure. This programmable pattern generator allows a user to define a particular test pattern by providing bit-by-bit test values, by defining a combination of canned sequences, or by supplementing one or more canned sequences with additional test bits.
    Type: Grant
    Filed: June 11, 2007
    Date of Patent: August 10, 2010
    Assignee: LSI Corporation
    Inventors: Gabriel L. Romero, Coralyn S. Gauvin
  • Patent number: 7770080
    Abstract: A method and apparatus are disclosed in which defect behavior in an integrated circuit is discovered and modeled rather than assuming defect behavior in the form of a fault. A plurality of tests are performed on an integrated circuit to produce passing and failing responses. The failing responses are examined in conjunction with circuit description data to identify fault locations. For at least certain of the fault locations, the logic-level conditions at neighboring locations which describe the behavior of a failing response are identified. Those logic level conditions are combined into a macrofault for that location. The macrofault is then validated and can be then used to identify more tests for further refining the diagnosis. Because of the rules governing abstracts, this abstract should not be used to construe the claims.
    Type: Grant
    Filed: January 10, 2007
    Date of Patent: August 3, 2010
    Assignee: Carnegie Mellon University
    Inventors: Ronald DeShawn Blanton, Rao H. Desineni, Wojciech Maly
  • Patent number: 7765450
    Abstract: As described herein, circuit testing algorithms, or portions thereof, can be executed in a distributed manner so that their execution can be over a network of processors. In one aspect, the results that are obtained by such distributed execution are ensured to be consistent with the results that would be obtained by executing them in a non-distributed manner. Thus, in one aspect, the algorithms, or portions thereof, have to be made distributable. The algorithms, or portions thereof, are made distributable by isolating any random number generation therewith to be independent of each other. This isolation applies to any random number generation associated with different call instances of the same algorithm as well. In one aspect, the isolation is accomplished by ensuring that the calculation of random number sequences for the algorithms, or portions thereof, is not dependent on random number sequences calculated for the others or between call instances of the same algorithm.
    Type: Grant
    Filed: October 20, 2005
    Date of Patent: July 27, 2010
    Inventors: Jon Udell, Chen Wang, Mark Kassab, Janusz Rajski
  • Patent number: 7765449
    Abstract: A test apparatus that tests a plurality of device under tests includes: a common pattern generating section that generates a common pattern being the pattern of a test signal common to the plurality of device under tests; an additional pattern storage section that previously stores therein an additional pattern to be added to the common pattern; and an each pattern adding section that reads the additional pattern for each of the device under tests based on a result signal outputted from the device under test and provides the additional pattern added with the common pattern to the device under test.
    Type: Grant
    Filed: February 16, 2007
    Date of Patent: July 27, 2010
    Assignee: Advantest Corporation
    Inventor: Masaru Doi
  • Patent number: 7761764
    Abstract: A system and method for self-test of an integrated circuit are disclosed. As one example, an integrated circuit is disclosed. The integrated circuit includes a digital signal processing chain, a random sequence generator coupled to an input of the digital signal processing chain, and a checksum calculator coupled to an output of the digital signal processing chain.
    Type: Grant
    Filed: January 12, 2007
    Date of Patent: July 20, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: William M. Hurley
  • Patent number: 7761763
    Abstract: A system-on-chip (SOC) having built-in-self-test (BIST) circuits and a self-test method of the SOC are provided. The SOC having the BIST circuits includes intellectual property (IP) blocks having BIST logic circuits and a BIST control unit. The BIST logic circuit operates in a normal or a test mode in response to control data received through a system bus, and outputs test result data in the test mode. The BIST control unit tests the IP blocks by transferring the control data, a command signal, test pattern data, and test address signals to the BIST logic circuit through the system bus, and compresses and stores the test result data received through the system bus in the test mode.
    Type: Grant
    Filed: July 30, 2008
    Date of Patent: July 20, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jong-Chul Shin, Jong-Ho Kim, Hae-Young Rha, Kee-Won Joe
  • Patent number: 7756732
    Abstract: The invention affords a method of and system for processing data relating to the value creation and value realization performance of a business enterprise in which an assurance report can be provided, for example by third parties, on an outcome display as selected by a stakeholder-user. Certain assurance procedures are automated so that they can be undertaken in real time, in parallel with the generation of the outcome displays on which assurance is being provided. Where appropriate, stakeholder-users are able to specify the level of assurance they require. Assurance reports generated by the system may be customized in order to be relevant to the particular outcome display to which they refer, for example by using the particular choices made by a stakeholder-user in selecting the attributes of a particular outcome display.
    Type: Grant
    Filed: June 17, 2002
    Date of Patent: July 13, 2010
    Assignee: TVC International Inc.
    Inventors: Robert I. G. McLean, Rodney J. Anderson
  • Publication number: 20100162064
    Abstract: In one embodiment, the invention is a method and apparatus covering a multilayer process space during at-speed testing. One embodiment of a method for selecting a set of paths with which to test a process space includes determining a number N of paths to be included in the set of paths such that at least number M of paths in N for which testing of the process space will fail, computing a metric that substantially ensures that the set of paths satisfies the requirements of N and M, and outputting the metric for use in selecting the set of paths.
    Type: Application
    Filed: December 19, 2008
    Publication date: June 24, 2010
    Inventors: Yiyu Shi, Chandramouli Visweswariah, Jinjun Xiong, Vladimir Zolotov
  • Patent number: 7743305
    Abstract: A test apparatus that tests a device under test is provided. The test apparatus includes: a main memory that stores a test data row for testing the device under test; a cache memory that caches the test data row read from the main memory; a pattern generation control section that reads each test data which is not aligned in units of word being a data transfer unit of the main memory and writes the same to cache entries different from each other in the cache memory for each test data; and a pattern generating section that sequentially reads the test data stored of each cache entry in the cache memory and generates a test pattern for testing the device under test.
    Type: Grant
    Filed: March 20, 2007
    Date of Patent: June 22, 2010
    Assignee: Advantest Corporation
    Inventor: Tatsuya Yamada
  • Patent number: 7743304
    Abstract: A test system for performing tests on devices under test (DUTs) includes a storage device storing test data for performing the tests on the DUTs, a shared processor for generating the test data, storing the test data in the storage device and generating a test control signal including one or more test instructions for executing the tests, and, for each DUT, a dedicated processor configured to receive a test control signal from the shared processor, and in response to the test control signal, transfer the test data for one of the test instructions to the DUT to execute that test instruction and verify the completion of that test instruction.
    Type: Grant
    Filed: February 17, 2006
    Date of Patent: June 22, 2010
    Assignee: Verigy (Singapore) Pte. Ltd.
    Inventors: Erik H. Volkerink, Edmundo De La Puente
  • Publication number: 20100153801
    Abstract: A semiconductor device that includes a module under test that is integrated with the semiconductor device, that receives an input signal from a test module, and that provides an output signal to at least one output terminal based on the input signal. An error detecting module is integrated with the semiconductor device, samples values of the output signal, and outputs the sampled values to the test module.
    Type: Application
    Filed: March 1, 2010
    Publication date: June 17, 2010
    Inventors: Masayuki Urabe, Akio Goto
  • Patent number: 7739572
    Abstract: A tester for testing a semiconductor device is disclosed. The tester for testing the semiconductor device employs a data selector for converting a logical test pattern data transmitted from a pattern generator into a physical test pattern data and an expected data based on the logical test pattern data, thereby generating various timings based on a time delay instead of using a plurality of clocks to improve a test efficiency and reduce a manufacturing cost.
    Type: Grant
    Filed: July 25, 2007
    Date of Patent: June 15, 2010
    Assignee: UniTest Inc.
    Inventor: Jong Koo Kang
  • Patent number: 7739571
    Abstract: In a semiconductor integrated circuit 11, there is constructed a test expected value programming circuit 100 having an input/input-output pad 103 for retrieving a ground/power-source signal 104 from a ground terminal 30 or a power source terminal 31 connected to the semiconductor integrated circuit 11, a switch 105 for selectively switching the outputting of the ground/power-source signal 104 inputted via the input/input-output pad 103, and an expected value generation circuit 13 for generating a test expected value signal 21 based on a switch output signal 122 outputted from the switch 105.
    Type: Grant
    Filed: September 27, 2005
    Date of Patent: June 15, 2010
    Assignee: Panasonic Corporation
    Inventors: Yasuteru Maeda, Toshinori Maeda
  • Patent number: 7739563
    Abstract: A semiconductor integrated circuit is configured to test a high-speed memory at the actual operation speed of the memory, even when the operation speed of the built-in self-test circuit of the integrated circuit is restricted. In order to test a memory operating on a first clock, the integrated circuit is provided with a first test pattern generation section, operating on a second clock, for generating test data, and a second test pattern generation section, operating on a third clock, the inverted clock of the second clock, for generating test data. Furthermore, the integrated circuit is provided with a test data selection section for selectively outputting either the test data output from the first test pattern generation section or the test data output from the second test pattern generation section depending on the signal value of the second clock, thereby inputting the test data to the memory as test data.
    Type: Grant
    Filed: April 7, 2008
    Date of Patent: June 15, 2010
    Assignee: Panasonic Corporation
    Inventor: Osamu Ichikawa
  • Publication number: 20100146350
    Abstract: Disclosed herein are representative embodiments of methods, apparatus, and systems used for generating test patterns as may be used as part of a test pattern generation process (for example, for use with an automatic test pattern generator (ATPG) software tool). In one exemplary embodiment, hold probabilities are determined for state elements (for example, scan cells) of a circuit design. A test cube is generated targeting one or more faults in the circuit design. In one particular implementation, the test cube initially comprises specified values that target the one or more faults and further comprises unspecified values. The test cube is modified by specifying at least a portion of the unspecified values with values determined at least in part from the hold probabilities and stored.
    Type: Application
    Filed: February 9, 2010
    Publication date: June 10, 2010
    Inventors: Xijiang Lin, Janusz Rajski
  • Patent number: 7734973
    Abstract: An apparatus enables a high quality test to be carried out within a short time, without forcing a severe design limitation on the designer and without an expensive tester. The apparatus includes a pattern generator built in an integrated circuit to generate pseudo random patterns as test patterns. A plurality of shift registers are configured with sequential circuit elements inside said integrated circuit. An automatic test pattern generating unit generates ATPG patterns. A pattern modifier modifies a portion, to which a predetermined value is required to be set in order to detect a fault, in said pseudo random patterns generated by said pattern generator, on a basis of said ATPG patterns, and inputs said modified pseudo random patterns to said shift registers.
    Type: Grant
    Filed: December 29, 2006
    Date of Patent: June 8, 2010
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Takahisa Hiraide, Hitoshi Yamanaka
  • Publication number: 20100138707
    Abstract: A processor includes an arithmetic device, a storage device that holds arithmetic data, a data generator that generates test data, an address generator that generates an address at which the test data is to be written, a test data number counter that counts a number of test data, an error information holder that holds mismatch error information, an error occurrence bit position holder that holds a position of a bit at which a mismatch error has occurred, an error occurrence test data number holder that holds number of test data counted by the test data number counter, and a comparator that compares test data written to the storage device with test data read from the storage device and stores error information in the error information holder and a position of a bit and number of the test data in which the mismatch error has occurred.
    Type: Application
    Filed: December 1, 2009
    Publication date: June 3, 2010
    Applicant: FUJITSU MICROELECTRONICS LIMITED
    Inventor: Masahiro Yanagida
  • Patent number: 7729884
    Abstract: Methods, apparatus, and systems for performing fault diagnosis are disclosed herein. In one exemplary embodiment, a failure log is received including entries indicative of compressed test responses to chain patterns and compressed test responses to scan patterns. A faulty scan chain in the circuit-under-test is identified based at least in part on one or more of the entries indicative of the compressed test responses to chain patterns. One or more faulty scan cell candidates in the faulty scan chain are identified based at least in part on one or more of the entries indicative of the compressed test responses to scan patterns. The one or more identified scan cell candidates can be reported. Computer-readable media comprising computer-executable instructions for causing a computer to perform any of the disclosed methods are also provided. Likewise, computer-readable media storing lists of fault candidates identified by any of the disclosed methods are also provided.
    Type: Grant
    Filed: November 4, 2005
    Date of Patent: June 1, 2010
    Inventors: Yu Huang, Wu-Tung Cheng, Janusz Rajski
  • Patent number: 7730373
    Abstract: A method includes obtaining an equivalent core of multiple cores in a System-on-Chip circuit, and applying linear-feedback shift register LFSR reseeding for compressing test data of the equivalent core.
    Type: Grant
    Filed: August 15, 2007
    Date of Patent: June 1, 2010
    Assignee: NEC Laboratories America, Inc.
    Inventors: Zhanglei Wang, Seongmoon Wang
  • Patent number: 7729891
    Abstract: Methods, apparatus and systems are provided that enable the generation of random regression suites for verification of a hardware or software design to be formulated as optimization problems. Solution of the optimization problems using probabilistic methods provides information on which set of test specifications should be used, and how many tests should be generated from each specification. In one mode of operation regression suites are constructed that use the minimal number of tests required to achieve a specific coverage goal. In another mode of operation regression suites are constructed so as to maximize task coverage when a fixed number of tests are run or within a fixed cost.
    Type: Grant
    Filed: June 6, 2005
    Date of Patent: June 1, 2010
    Assignee: International Business Machines Corporation
    Inventors: Shai Fine, Shmuel Ur, Avi Ziv, Simon Rushton
  • Patent number: 7725782
    Abstract: A method and apparatus is provided for detecting random access memory (RAM) failure for data with a plurality of addresses. The method comprises generating a plurality of RAM test patterns in a predetermined order, implementing a RAM test pattern on each data address in an initial testing pass, based on the predetermined order of the RAM test patterns, rotating the RAM test patterns sequentially to prepare for a new testing pass, and implementing the RAM test patterns on different data addresses in the new testing pass. The apparatus comprises means for generating a plurality of RAM test patterns in a predetermined order, means for implementing a RAM test pattern on each data address in an initial testing pass, based on the predetermined order of the RAM test patterns, means for rotating the RAM test patterns sequentially to prepare for a new testing pass, and means for implementing the RAM test patterns on different data addresses in the new testing pass.
    Type: Grant
    Filed: January 4, 2007
    Date of Patent: May 25, 2010
    Assignee: GM Global Technology Operations, Inc.
    Inventor: Kerfegar K. Katrak
  • Patent number: 7725793
    Abstract: There is provided a test apparatus for testing a device under test.
    Type: Grant
    Filed: March 21, 2007
    Date of Patent: May 25, 2010
    Assignee: Advantest Corporation
    Inventors: Tatsuya Yamada, Tomoyuki Sugaya
  • Patent number: 7720621
    Abstract: A method and system for applying multiple voltage droop detection and instruction throttling instances with customized thresholds across semiconductor chips. Environmental parameters are detected for various locations on a chip, and timing margins are determined for each location on the chip. An acceptable voltage droop for each location is determined based on the environmental parameters and the timing margins for the corresponding location. A droop threshold is then determined for each location based on the corresponding acceptable voltage droop determined for the corresponding location.
    Type: Grant
    Filed: August 30, 2007
    Date of Patent: May 18, 2010
    Assignee: International Business Machines Corporation
    Inventor: Roger D. Weekly
  • Patent number: 7707473
    Abstract: Embodiments herein may enable an algorithmic pattern generator (APG) to present iterative values of one or more operational parameters to a device under test (DUT). At each iteration, one or more test patterns may be presented to the DUT. The APG may capture test results from a set of iterations of the operational parameters. The APG may also write values associated with a next operational parameter to be iterated to a test parameter configuration space within the device tester.
    Type: Grant
    Filed: August 2, 2006
    Date of Patent: April 27, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Paul A. LaBerge, Jeffrey J. Rooney, Charles K. Snodgrass
  • Patent number: 7707471
    Abstract: Provided is a method of forming reference information for defining a fault pattern of equipment, and monitoring equipment. One example embodiment method may include performing an angle spectrum analysis by re-classifying fault points distributed on a plane, the plane including a first component axis and a second component axis, and the re-classifying fault points including calculating an angle for each of the fault points with reference to any one of the first component axis and the second component axis of the plane, and forming a reference fault pattern for defining a fault pattern of the re-classified fault points.
    Type: Grant
    Filed: August 20, 2007
    Date of Patent: April 27, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Hak Lee, Tae-Jin Yun, Won-Soo Choi, Mun-Hee Lee
  • Publication number: 20100100786
    Abstract: A methodology to perform testing of integrated circuits (IC) wherein a reduced number of Input/Output (IO) pins may used to load testing patterns and capture test results from test structures after an IC has been installed in its intended application is provided. This methodology utilizes a software engine that receives and translates a parallel test pattern into serial data patterns operable to be provided on the reduced number of I/O pins. A serial process loader then loads the serial data patterns to the test structures within the IC. The IC receives the serial patterns and in turn translates them into parallel test patterns in order to apply the test patterns to the appropriate test structures. The results are captured and then translated into a serial format for communication from the IC to a test unit for analysis.
    Type: Application
    Filed: October 17, 2008
    Publication date: April 22, 2010
    Applicant: International Business Machines Corporation
    Inventors: Robert C. Dixon, Robert DeVor, Hien M. Le, Sarah Lynn Bird
  • Publication number: 20100095179
    Abstract: A test pattern generation method for determining if a combinational portion 17 is defective, by applying test patterns to a semiconductor integrated circuit 10 and comparing responses to the test patterns with expected responses, the method including: a first step of generating test patterns having logic bits for detecting defects and unspecified bits; a second step of selecting critical paths 19, 19a, 19b generated by the application of the test patterns; a third step of identifying critical gates on the critical paths 19, 19a, 19b; and a fourth step of determining unspecified bits so that a critical capture transition metric, which indicates the number of the critical gates whose states are changed, is reduced; wherein by reducing the critical capture transition metric, output delays from the critical paths 19, 19a, 19b are prevented, and thereby false testing can be avoided.
    Type: Application
    Filed: April 11, 2009
    Publication date: April 15, 2010
    Applicant: KYUSHU INSTITUTE OF TECHNOLOGY
    Inventors: Xiaoqing Wen, Kohei Miyase, Seiji Kajihara
  • Patent number: 7694201
    Abstract: A semiconductor testing device includes: a data memory which stores a test program, said test program generating a test command for testing a plurality of functions within one function area of a plurality of function areas of a semiconductor device, said test command being generated for said function area; a first area generation part which generates first data, said first data identifying one function area of said plurality of function areas, said plurality of functions of said one function area being tested; a main control part which generates said test command based on said test program and said first data and transmits said test command to said semiconductor device; a second area generation part which receives a first result, said first result being returned from said semiconductor device based on a first test in accordance with said test command and generates a second result based on said first result, said second result showing a pass or failure of said first test corresponding to said function area; an
    Type: Grant
    Filed: September 20, 2007
    Date of Patent: April 6, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Hideyoshi Takai
  • Patent number: 7694202
    Abstract: A system and method to provide memory test patterns for the calibration of a delay locked loop (DLL) using a pseudo random bit sequence (PRBS) stored in a serial presence detect (SPD) circuit memory. The test bits stored in the SPD memory are transferred to a memory controller register (MCR) and implemented on the system data bus as test patterns that closely simulate run-time switching conditions on the system bus, so as to allow more accurate calibration of the DLL. Test data write/read operations may be performed while signals for the test patterns are present on various bit lines in the data bus so as to allow for accurate determination or adjustment of the value for the delay to be provided by the DLL to the strobe signals during memory data reading operations at run time. Memory chips may also be tested over an operating range of values using the generated test patterns.
    Type: Grant
    Filed: January 28, 2004
    Date of Patent: April 6, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Travis E. Swanson, Jeffrey J. Rooney
  • Patent number: 7689886
    Abstract: A system and method for predicting lwarx (Load Word And Reserve Index form) and stwcx (Store Word Conditional) instruction outcome is presented. A lwarx instruction establishes a reservation on an address/granule, and a stwcx instruction targeted to the same address/granule “succeeds” only if the reservation for the granule still exists (conditional store). Since the reservation may be lost due to situations such as, for example, a processor (or another processor) executing a different lwarx or ldarx instruction (or other mechanism), which clears the first reservation and establishes a new reservation, the invention described herein builds test patterns in a manner that ensures, stwcx success and failure predictability. As a result, stwcx instructions are testable during test pattern execution.
    Type: Grant
    Filed: July 18, 2007
    Date of Patent: March 30, 2010
    Assignee: International Business Machines Corporation
    Inventors: Sampan Arora, Divya S. Anvekar, Manoj Dusanapudi, Sunil Suresh Hatti, Shakti Kapoor, Bhavani Shringari Nanjundiah
  • Patent number: 7685491
    Abstract: Disclosed herein are representative embodiments of methods, apparatus, and systems used for generating test patterns as may be used as part of a test pattern generation process (for example, for use with an automatic test pattern generator (ATPG) software tool). In one exemplary embodiment, hold probabilities are determined for state elements (for example, scan cells) of a circuit design. A test cube is generated targeting one or more faults in the circuit design. In one particular implementation, the test cube initially comprises specified values that target the one or more faults and further comprises unspecified values. The test cube is modified by specifying at least a portion of the unspecified values with values determined at least in part from the hold probabilities and stored.
    Type: Grant
    Filed: April 5, 2007
    Date of Patent: March 23, 2010
    Inventors: Xijiang Lin, Janusz Rajski
  • Patent number: 7680493
    Abstract: According to one embodiment, a low phase noise testing system includes a tester providing a high phase noise digital channel output. The low phase noise testing system further includes a crystal filter configured to receive the digital channel output and to pass a narrow frequency range from the digital channel output, whereby the high phase noise digital channel output is converted to a low phase noise clock for use by a device under test. The crystal filter can be, for example, a monolithic crystal filter or a discrete crystal filter.
    Type: Grant
    Filed: May 10, 2007
    Date of Patent: March 16, 2010
    Assignee: Broadcom Corporation
    Inventor: Timothy F. Scranton
  • Patent number: 7681099
    Abstract: An integrated circuit (1600) includes a debug module (1602) and a clock generator (1610). The debug module (1602) is configured to receive a test pattern and provide a mode signal based on the test pattern. The clock generator (1610) includes a first clock input configured to receive a first clock signal, a second clock input configured to receive a second clock signal, and a mode input configured to receive the mode signal. The first and second clock signals are out of phase and have the same clock frequency. The clock generator (1610) is configured to provide a generated clock signal whose effective frequency is based on the first and second clock signals and the mode signal.
    Type: Grant
    Filed: May 17, 2007
    Date of Patent: March 16, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Atchyuth Gorti, Tendy The, Daniel W. Bailey, Bill K. C. Kwan
  • Publication number: 20100058131
    Abstract: Provided is a test apparatus that tests a device under test, including a vector expanding section that sequentially generates a plurality of test vectors; a vector selecting section that selects test vectors that cause a prescribed characteristic of the device under test, which is to be measured when test signals that are each based on one of the test vectors are supplied to the device under test, to fulfill a preset condition; and a judging section that judges pass/fail of the device under test based on measured values of the prescribed characteristic of the device under test supplied with the test signal based on the test vectors selected by the vector selecting section.
    Type: Application
    Filed: August 29, 2008
    Publication date: March 4, 2010
    Applicants: ADVANTEST CORPORATION, THE UNIVERSITY OF TOKYO
    Inventors: Yasuo Furukawa, Gorschwin Fey, Satoshi Komatsu, Masahiro Fujita
  • Patent number: 7673205
    Abstract: According to the present invention, the outputs of the last scanning flip-flop circuits 12 included in scan chains 111 are compiled and compressed in an output compression circuit 112, a sum of the outputs from the scan chains 111 and an expected value written in an expected value storage circuit 113 from the outside are compared with each other in an expected value decision circuit 114, the sum being outputted from the output compression circuit 112, a pass/fail decision result obtained by the comparison can be outputted from an output terminal 116 of the expected value decision circuit 114 to the outside, and the decision result can be stored regardless of the reset of a system.
    Type: Grant
    Filed: June 28, 2007
    Date of Patent: March 2, 2010
    Assignee: Panasonic Corporation
    Inventors: Naomi Miyake, Yoshirou Nakata
  • Patent number: 7673198
    Abstract: A testing system includes an integrated circuit having an analog design under test and a processor; an digital-to-analog converter (DAC), coupled to the analog design under test and the processor, for converting a digital testing sequence output of the processor into an analog testing sequence fed into the analog design under test; a analog-to-digital converter (ADC), coupled to the analog design under test and the processor, for converting an analog testing response of the analog design under test into a digital testing response fed into the processor; and an external tester, coupled to the processor of the integrated circuit, for sequentially outputting a program sequence to the processor; wherein the processor executes the program sequence without un-predictable conditional jump to get a testing result of the testing system and then outputs the testing result to the external tester.
    Type: Grant
    Filed: November 23, 2005
    Date of Patent: March 2, 2010
    Assignee: MediaTek Inc.
    Inventors: Li-Chun Tu, Chun-Yu Lin, Chao-Long Tsai, Chun-Chieh Shih
  • Patent number: 7673209
    Abstract: Provided are a test pattern generating circuit which generates test patterns having various types and lengths and a semiconductor memory device which performs a test operation using the test pattern generating circuit. The test pattern generating circuit includes a plurality of register blocks which receive test signals input from an external tester through an input/output pad and load the test signals into the resister blocks in synchronization with a low-frequency clock signal; a register block control unit which controls the activation of the register blocks; and an output unit which is connected to the register blocks and outputs the signals loaded into the register blocks as test patterns in synchronization with a high-frequency clock signal.
    Type: Grant
    Filed: August 1, 2007
    Date of Patent: March 2, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hwan-wook Park, Young-uk Chang
  • Patent number: 7669101
    Abstract: Described herein are methods and systems for distributed execution of circuit testing algorithms, or portions thereof. Distributed processing can result in faster processing. Algorithms or portions of algorithms that are independent from each other can be executed in a non-sequential manner (e.g., parallel) over a network of plurality of processors. The network includes a controlling processor that can allocate tasks to other processors and conduct the execution of some tasks on its own. Dependent algorithms, or portions thereof, can be performed on the controlling processor or one of the controlled processors in a sequential manner. For algorithms that are highly sequential in nature, portions of algorithms can be modified to delay the need for dependent results between algorithm portions by creating a rolling window of independent tasks that is iterated.
    Type: Grant
    Filed: May 8, 2008
    Date of Patent: February 23, 2010
    Inventors: Jon Udell, Chen Wang, Mark Kassab, Janusz Rajski
  • Patent number: 7669090
    Abstract: An apparatus for verifying a custom IC including a test pattern generating unit for generating a test pattern for verifying a function of the custom IC. The test pattern is output to a master IC and a test IC. The apparatus further includes a comparing unit connected to receive operation signals output from the master IC and the test IC for comparing the operation signals to see if the operation signals are agreed with each other and for generating a comparison signal based on a comparison result, a judging unit connected to receive the comparison signal for judging if there is any abnormality in the test IC and for outputting a judged signal based on a judged result, and a computer connected to receive the judged signal for displaying the judged result of the test IC.
    Type: Grant
    Filed: May 18, 2006
    Date of Patent: February 23, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hideyuki Kitazono, Toshifumi Sato, Naotaka Oda, Toshiaki Ito, Mikio Izumi
  • Patent number: 7665004
    Abstract: A timing generator that needs no analog circuit for adding jitters and allows the circuit scale and power consumption to be reduced.
    Type: Grant
    Filed: June 6, 2005
    Date of Patent: February 16, 2010
    Assignee: Advantest Corporation
    Inventors: Masakatsu Suda, Masahiro Ishida, Daisuke Watanabe
  • Patent number: 7664166
    Abstract: A pleisiochronous repeater system and components thereof are disclosed. In one particular exemplary embodiment, a pleisiochronous repeater system component may be realized as a receiver circuit comprising a clock multiplier that multiplies a reference clock signal by an integer multiple to generate a data clock signal. The receiver circuit may also comprise a divider circuit that generates a timing reference signal having a frequency that is not an integer divisor of a frequency of the reference clock signal.
    Type: Grant
    Filed: December 17, 2004
    Date of Patent: February 16, 2010
    Assignee: Rambus Inc.
    Inventors: Robert E. Palmer, Thomas H. Greer, III, Stephen G. Tell
  • Publication number: 20100031105
    Abstract: In a random error signal generator, an M-sequence generation circuit outputs, in parallel, pieces of bit data stored in each register, a first generation circuit sequentially outputs first reference values C which are changed by a predetermined value in response to clocks, a second generation circuit outputs a second reference value D which is shifted from the first reference value C by a range value E which is determined depending on an error rate p. A comparison and determination unit outputs random error signals to be error bits when a numeric value A of the bit data output exists between the first and second reference values C, D. The random error signal has the error rate p, the number of times of error occurrences follows Poisson distribution, and a distribution of adjacent error occurrence intervals follows a geometric distribution.
    Type: Application
    Filed: July 28, 2009
    Publication date: February 4, 2010
    Applicant: Anritsu Corporation
    Inventors: Takashi FURUYA, Masahiro Kuroda, Hiroshi Shimotahira
  • Patent number: 7657813
    Abstract: Expect data signals are generated for a series of applied data signals having a known sequence to determine if groups of the data signals were properly captured. A first group of the applied data signals is captured, and a group of expect data signals generated from the captured first group. A second group of applied data signals is then captured and determined to have been properly captured when the second group corresponds to the group of expect data signals. In this way, when a captured series of data signals is shifted in time from an expected capture point, subsequent captured data signals are compared to their correct expected data signals in order to determine whether that group, although shifted in time, was nonetheless correctly captured. A pattern generator generates expect data signals in this manner, and may be utilized in a variety of integrated circuits, such as an SLDRAM.
    Type: Grant
    Filed: April 18, 2008
    Date of Patent: February 2, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Troy A. Manning
  • Patent number: 7657812
    Abstract: There is provided a test apparatus for testing a device under test. The test apparatus includes an instruction storing section that stores thereon a test instruction sequence, a pattern generating section that sequentially reads and executes an instruction from the test instruction sequence, and outputs a test pattern associated with the executed instruction, a test signal output section that generates a test signal in accordance with the test pattern, and supplies the generated test signal to the device under test, and a result register that stores thereon a value having a predetermined number of bits.
    Type: Grant
    Filed: March 21, 2007
    Date of Patent: February 2, 2010
    Assignee: Advantest Corporation
    Inventor: Tatsuya Yamada
  • Publication number: 20100023824
    Abstract: A single-pass method for test pattern generation for sequential circuits employs a local-fault at each time-frame. The result is that a fault arriving at circuit primary output lines unambiguously signals the discovery of a valid test pattern sequence for the fault. The valid test pattern sequence is reconstructed from stored history and is used to test a sequential circuit.
    Type: Application
    Filed: July 27, 2009
    Publication date: January 28, 2010
    Inventor: Delmas R. Buckley, JR.
  • Publication number: 20100023825
    Abstract: A high-definition multimedia interface circuit uses a high-definition multimedia interface encoder to produce a plurality of channels of data. An output circuit, connected to the high-definition multimedia interface encoder, produces a plurality of channels of high frequency data from the data produced by the high-definition multimedia interface encoder. A multiplexer selects a channel for sampling, and a capacitive coupler capacitively couples the multiplexer to a sampling circuit. The sampling circuit produces sampled data corresponding to the high frequency data having a clock rate less than a clock rate of the high frequency data. A test circuit compares the sampled data with the data produced by the high-definition multimedia interface encoder.
    Type: Application
    Filed: September 25, 2009
    Publication date: January 28, 2010
    Applicant: ANALOG DEVICES, INC.
    Inventors: Barry L. STAKELY, Rodney D. MILLER, Jingang YI
  • Patent number: 7653844
    Abstract: In a communication system based on OSI (Open Systems Interconnection) Reference Model, a pattern body generation circuit of a transmitting device generates and outputs a jitter test pattern body for jitter test. A selector selects an output (frame data) of a transmitting-end upper circuit during normal communication and selects an output (pattern body) of the pattern body generation circuit during jitter test. A transmitting-end MAC circuit performs transmitting-end processing of a MAC layer on the data selected by the selector to thereby obtain a MAC frame. A receiving-end MAC circuit performs receiving-end processing of a MAC layer on a received frame in MAC frame format to thereby obtain a payload. A pattern body verification circuit verifies a pattern body that is a payload obtained by the receiving-end MAC circuit during jitter test against a corresponding pattern body before transmission.
    Type: Grant
    Filed: September 26, 2007
    Date of Patent: January 26, 2010
    Assignee: NEC Electronics Corporation
    Inventor: Kenichi Sasaki
  • Patent number: 7652497
    Abstract: A sequential semiconductor device tester, and in particular to a sequential semiconductor device tester is disclosed. In accordance with the sequential semiconductor device tester, a function of generating a test pattern data for a test of a semiconductor device and a function of carrying out the test are separated to sequentially test the semiconductor device, to maintain a signal integrity and to improve an efficiency of the test by carrying out a test under an application environment or an ATE test according to the test pattern data.
    Type: Grant
    Filed: October 29, 2007
    Date of Patent: January 26, 2010
    Assignee: Unitest Inc.
    Inventors: Sun Whan Kim, Sang Sig Lee
  • Publication number: 20100017668
    Abstract: Some embodiments provide a method of digital logic design and digital logic testing of logic under test, the logic including latches, the latches including measure latches, which are latches that measure focal faults more than other latches, and care bit latches, which are latches that require specific input values to test a fault, wherein a focal fault is a randomly selected untested fault in the logic under test, the method comprising generating test patterns for the logic under test; fault simulating the test patterns on the logic under test; ranking measure latches based on the number of focal faults they respectively measure; and tracing back a number of levels from at least some of the highest ranked measure latches and inserting test observe latches. Other methods and systems are also provided.
    Type: Application
    Filed: July 15, 2008
    Publication date: January 21, 2010
    Inventors: Kenneth Pichamuthu, Prakash Venkitaraman, Andrew Ferko