Including Test Pattern Generator Patents (Class 714/738)
  • Patent number: 8352818
    Abstract: A method for generating a test pattern set for detecting small delay defects of an IC is disclosed. In one embodiment, the method includes: (1) generating a traditional delay fault pattern, (2) fault grading the traditional delay fault pattern for small delay defect coverage, (3) reporting faults detected by the fault grading and delay information associated with the detected faults, (4) determining which of the detected faults are timing-aware target faults employing the delay information and (5) generating timing-aware delay fault patterns for the timing-aware target faults.
    Type: Grant
    Filed: December 16, 2008
    Date of Patent: January 8, 2013
    Assignee: LSI Corporation
    Inventors: Sandeep Kumar Goel, Narendra B. Devta-Prasanna, Ritesh P. Turakhia
  • Patent number: 8352817
    Abstract: A method for testing a memory and a control device having means for a memory test. A destination address of the memory is selected in the process, dependent addresses of the memory are determined from the destination address, and user data at the destination address and the dependent addresses are backed up. Furthermore, the destination address and the dependent addresses are described by test patterns, via which a signature is formed. The backed-up user data of the destination address and the dependent addresses are then restored. Finally, the determined signature is compared with the known setpoint value. In the event of a deviation between the signature and the setpoint value, suitable protective mechanisms are initiated.
    Type: Grant
    Filed: March 17, 2010
    Date of Patent: January 8, 2013
    Assignee: Robert Bosch GmbH
    Inventors: Klaus-Peter Mattern, Carsten Gebauer, Harald Tschentscher
  • Publication number: 20130007548
    Abstract: An embodiment of a method for automated test pattern generation (ATPG), a system for ATPG, and a memory configured for ATPG. For example, an embodiment of a memory includes a first test memory cell, a data-storage memory cell, and a test circuit configured to enable the test cell and to disable the data-storage cell during a test mode.
    Type: Application
    Filed: July 1, 2011
    Publication date: January 3, 2013
    Applicant: STMicroelectronics Pvt. Ltd.
    Inventor: Nishu KOHLI
  • Patent number: 8347159
    Abstract: The test data compression scheme is based on deterministic vector clustering. Test cubes that feature many similar specified bits are merged into a parent pattern in the presence of conflicts. The parent pattern along with a control pattern and incremental patterns representing conflicting bits are encoded efficiently. A tri-modal decompressor may be used to decompress the test data.
    Type: Grant
    Filed: March 5, 2010
    Date of Patent: January 1, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: Grzegorz Mrugalski, Nilanjan Mukherjee, Janusz Rajski, Dariusz Czysz, Jerzy Tyszer
  • Patent number: 8347156
    Abstract: A test system for performing tests on devices under test (DUTs) includes a storage device storing test data for performing the tests on the DUTs, a shared processor for generating the test data, storing the test data in the storage device and generating a test control signal including one or more test instructions for executing the tests, and, for each DUT, a dedicated processor configured to receive a test control signal from the shared processor, and in response to the test control signal, transfer the test data for one of the test instructions to the DUT to execute that test instruction and verify the completion of that test instruction.
    Type: Grant
    Filed: June 22, 2010
    Date of Patent: January 1, 2013
    Assignee: Advantest (Singapore) PTE LTD
    Inventors: Erik H. Volkerink, Edmundo De La Puente
  • Patent number: 8340940
    Abstract: An apparatus for multiplying a semiconductor test pattern signal, which firstly encodes a plurality of pattern signals to have different pattern types, and multiplies the encoded pattern signals according to an exclusive-OR (XOR) scheme in order to generate a single pattern signal, thereby recognizing a relationship between a pattern signal before the multiplication and the other pattern signal after the multiplication. A pattern-signal segmenting/outputting unit segments a semiconductor test pattern signal into a plurality of pattern signals, and simultaneously outputs the segmented pattern signals.
    Type: Grant
    Filed: October 17, 2008
    Date of Patent: December 25, 2012
    Assignee: International Trading & Technology Co., Ltd.
    Inventors: Kyung-hun Chang, Se-kyung Oh
  • Patent number: 8336019
    Abstract: A method is provided to evaluate whether one or more test patterns is power safe for use during manufacturing testing of an integrated circuit that includes a nonuniform power grid and that includes a scan chain, the method comprising: assigning respective toggle count thresholds for respective power grid regions of the non-uniform power grid; and determining whether respective numbers of toggles by scan elements of the scan chain within one or more respective power grid regions meet respective toggle count thresholds for the one or more respective regions during at least one scan-shift cycle in the course of scan-in of a test pattern to the scan chain.
    Type: Grant
    Filed: January 24, 2011
    Date of Patent: December 18, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventors: Senthil Arasu Thirunavukarasu, Shaleen Bhabu, Vivek Chickermane
  • Publication number: 20120311392
    Abstract: An automated regression testing intermediary configured to accept a first set of automated test instructions from an application testing tool. A data structure comprising predefined fields is configured so when a test instruction is received from the application testing tool, a command will be used to identify at least one field of the data structure that will be populated with a parameter test instruction. A library of generic target automated test instructions is provided. Each generic test instruction has a form and format different from the received test instruction. The intermediary is configured to select generic target automated test instructions from the library and populate selected generic target automated test instructions with parameters obtained from the data structure such that the resulting created target-specific automated test instructions can be used to regression test the application under test.
    Type: Application
    Filed: June 1, 2011
    Publication date: December 6, 2012
    Applicant: MORGAN STANLEY
    Inventor: Amit Agrawal
  • Patent number: 8321732
    Abstract: An embodiment includes encoding parallel digital data into encoded and parallel digital data in an encoder and generating parallel test data in a pseudo-random binary sequence generator circuit. The encoded and parallel digital data is coupled through a multiplexer to be serialized in a serializer in a normal mode of operation and the parallel test data is coupled through the multiplexer to be serialized in the serializer in a test mode of operation. Encoded and serial digital data are transmitted to a transmission medium in the normal mode, and serial test data are transmitted to the transmission medium in the test mode. The encoder, the serializer, the sequence generator circuit, and the multiplexer may be fabricated in a single integrated circuit chip. The parallel test data may be parallel pseudo-random binary sequence data. The parallel digital data may include data to generate colors in a visual image.
    Type: Grant
    Filed: September 16, 2011
    Date of Patent: November 27, 2012
    Assignee: Micron Technology, Inc.
    Inventors: David J. Warner, Ken S. Hunt, Andrew M. Lever
  • Publication number: 20120297264
    Abstract: Aspects of the invention relate to yield analysis techniques for generating root cause distribution information. Suspect information for a plurality of failing dies is first generated using a layout-aware diagnosis method. Based on the suspect information, potential root causes for the plurality of failing dies, and suspect feature weights and total feature weights for each of the potential root causes may then be determined. Next, the probability information of observing a particular suspect that is related to a particular root cause may be extracted. Finally, an expectation-maximization analysis may be conducted for generating the root cause distribution information based on the probability information and the suspect information. Heuristic information may be used to prevent the analysis from over-fitting.
    Type: Application
    Filed: May 16, 2012
    Publication date: November 22, 2012
    Inventor: Robert Brady Benware
  • Patent number: 8316283
    Abstract: In one embodiment, the present invention includes a method for generating a hybrid error correction code for a data block. The hybrid code, which may be a residual arithmetic-Hamming code, includes a first residue code based on the data block and a first parity code based on the data block and a Hamming matrix. Then the generated code along with the data block can be communicated through at least a portion of a datapath of a processor. Other embodiments are described and claimed.
    Type: Grant
    Filed: February 26, 2010
    Date of Patent: November 20, 2012
    Assignee: Intel Corporation
    Inventor: Helia Naeimi
  • Patent number: 8312333
    Abstract: An operation terminal, which includes an operation terminal, when connected to a group administration apparatus for administering a plurality of substrate processing apparatuses for processing substrates, generates a data acquisition request format that sets forth retrieval conditions and types of display items classified in individual tables for the substrate processing apparatuses, and then transmits it to the group administration apparatus.
    Type: Grant
    Filed: January 10, 2011
    Date of Patent: November 13, 2012
    Assignee: Hitachi Kokusai Electric Inc.
    Inventor: Toshiro Koshimaki
  • Patent number: 8307249
    Abstract: In a sophisticated semiconductor device including a large memory portion, a built-in self-test circuitry comprises a failure capturing logic that allows the capturing of a bitmap at a given instant in time without being limited to specific operating conditions in view of interfacing with external test equipment. Thus, although pipeline processing may be required due to the high speed operation during the self-test, reliable capturing of the bitmap may be achieved while maintaining high fault coverage of the test algorithm under consideration.
    Type: Grant
    Filed: February 22, 2010
    Date of Patent: November 6, 2012
    Assignee: Globalfoundries, Inc.
    Inventors: Markus Seuring, Kay Hesse, Kai Eichhorn
  • Patent number: 8306802
    Abstract: A method for digital circuit design. The first step of the process is the step of providing a circuit design in the form of a hardware definition language. Then, the process produces a binary simulation of the design by setting out for each unit of time during execution of the hardware design the a control state and a program state of the design and assigns a symbol to each signal of the design. The process proceeds by executing a symbolic simulation of the design, concluding with identifying and capturing the combinational logic expression of the simulation output and the next state functions of the simulation.
    Type: Grant
    Filed: November 2, 2006
    Date of Patent: November 6, 2012
    Assignee: Synopsys, Inc.
    Inventors: Yunshan Zhu, James Herbert Kukula, Robert F. Damiano, Joseph T. Buck
  • Patent number: 8294604
    Abstract: Test system and method for analog-to-digital converter (ADC) based on a loopback architecture are provided to test an M-bit ADC. In the invention, an N-bit digital-to-analog converter (DAC) converts a digital input to a basic test signal, a segmentation circuit scales the basic test signal and superposes it with segmentation DC levels for providing corresponding segmented test signals, such that the ADC converts the segmented test signals to reflect result of testing. With the invention, practical loopback architecture of low-cost can be adopted for testing.
    Type: Grant
    Filed: March 24, 2011
    Date of Patent: October 23, 2012
    Assignee: Faraday Technology Corp.
    Inventor: Tsung-Yu Lai
  • Patent number: 8286042
    Abstract: This invention generates the random seed patterns using simple, low-area overhead digital circuitry on-chip. This circuit is implemented as a finite state machine whose states are the seeds as contrasted to storing the seeds in the prior art. These seeds are used to control pseudo-random pattern generation for built-in self-tests. This invention provides a large reduction in chip area in comparison with storing seeds on-chip or off-chip.
    Type: Grant
    Filed: February 22, 2010
    Date of Patent: October 9, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Swathi Gangasani, Srinivasulu Alampally, Divya Divakaran, Rubin Ajit Parekhji, Amit Kumar Dutta, Srivaths Ravi
  • Patent number: 8286123
    Abstract: A method is provided to evaluate whether one or more test patterns is power safe for use during manufacturing testing of an integrated circuit that includes a nonuniform power grid and that includes a scan chain, the method comprising: assigning respective toggle count thresholds for respective power grid regions of the non-uniform power grid; and determining whether respective numbers of toggles by scan elements of the scan chain within one or more respective power grid regions meet respective toggle count thresholds for the one or more respective regions during at least one scan-shift cycle in the course of scan-in of a test pattern to the scan chain.
    Type: Grant
    Filed: January 24, 2011
    Date of Patent: October 9, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventors: Senthil Arasu Thirunavukarasu, Shaleen Bhabu, Vivek Chickermane
  • Patent number: 8286045
    Abstract: A test apparatus testing a device under test includes a main pattern generating section that generates a main pattern, a plurality of sub-pattern generating sections each of which generates a sub-pattern corresponding to a different one of segment cycles based on a main pattern, the segment cycles formed by dividing a test cycle period, a test signal supplying section that supplies, to the device under test, a multiplexed test pattern formed by switching sub-patterns generated by the plurality of sub-pattern generating sections at each of the segment cycles, and a plurality of delay selecting sections each of which selects one of a main pattern that is from the main pattern generating section and a delayed main pattern that is formed by delaying the main pattern from the main pattern generating section by a test cycle, to supply the selected one to the corresponding sub-pattern generating section.
    Type: Grant
    Filed: November 10, 2010
    Date of Patent: October 9, 2012
    Assignee: Advantest Corporation
    Inventor: Takahiro Yasui
  • Patent number: 8286046
    Abstract: Systems and methods of testing integrated circuits are disclosed. The systems include a test module configured to operate between automated testing equipment and an integrated circuit to be tested. The testing interface is configured to test the integrated circuit at a higher slew rate than the slew rate at which signals are received from the automated testing equipment. In order to do so, the testing interface includes components configured for generating addresses, commands, and test data to be conveyed to the integrated circuit. A variety of test data patterns can be produced and the test data can be address dependent. The systems are optionally configured to include a test plan memory component configured to store one or more test plans. A test plan may include a sequence of test patterns and/or conditional branches whereby the tests to be performed next are dependent on the results of the preceding tests. The test plan memory is, optionally, be detachable from the test module.
    Type: Grant
    Filed: June 16, 2011
    Date of Patent: October 9, 2012
    Assignee: Rambus Inc.
    Inventor: Adrian E. Ong
  • Patent number: 8280688
    Abstract: Methods, apparatus, and systems for performing fault diagnosis are disclosed herein. In one exemplary embodiment, a failure log is received comprising entries indicative of compressed test responses to chain patterns and compressed test responses to scan patterns. A faulty scan chain in the circuit-under-test is identified based at least in part on one or more of the entries indicative of the compressed test responses to chain patterns. One or more faulty scan cell candidates in the faulty scan chain are identified based at least in part on one or more of the entries indicative of the compressed test responses to scan patterns. The one or more identified scan cell candidates can be reported. Computer-readable media comprising computer-executable instructions for causing a computer to perform any of the disclosed methods are also provided. Likewise, computer-readable media storing lists of fault candidates identified by any of the disclosed methods are also provided.
    Type: Grant
    Filed: May 28, 2010
    Date of Patent: October 2, 2012
    Assignee: Mentor Graphics Corporation
    Inventors: Yu Huang, Wu-Tung Cheng, Janusz Rajski
  • Patent number: 8274296
    Abstract: Provided is a test apparatus that tests a device under test, comprising a digital signal generator that outputs in parallel one or more n-bit digital test signals, where n is an integer greater than or equal to 1; a plurality of driver circuits that are connected respectively to a plurality of digital terminals of the device under test; and an analog signal generator that generates an analog test signal by converting, into an analog signal, an n×m-bit digital multi-bit signal based on the one or more digital test signals output by the digital signal generator to the plurality of driver circuits, where m is an integer greater than or equal to 2.
    Type: Grant
    Filed: November 11, 2009
    Date of Patent: September 25, 2012
    Assignee: Advantest Corporation
    Inventors: Masayuki Kawabata, Toshiyuki Okayasu
  • Publication number: 20120221911
    Abstract: Electronic apparatus, systems, and methods of operating and constructing the electronic apparatus and/or systems include an embedded processor disposed in a logic chip to direct, among other functions, self-testing of an electronic device structure in conjunction with a pattern buffer disposed in the logic chip, when the electronic device structure is coupled to the logic chip. Additional apparatus, systems, and methods are disclosed.
    Type: Application
    Filed: May 7, 2012
    Publication date: August 30, 2012
    Inventor: Joe M. Jeddeloh
  • Patent number: 8255752
    Abstract: To reduce pseudo errors, a stationary signal is propagated through the circuit to be checked. A combination is extracted in which different asynchronous transfers occur between a transmitting side register and a receiving side register. From the extracted combination of asynchronous transfers, a circuit to be checked is extracted, and a synchronization circuit of a plurality of signals is excluded from the circuit to be checked. A stationary signal is propagated through the circuit to be checked, for each combination among all combinations of logic values “1” and “0” of the stationary signal. It is checked whether or not there exists one asynchronous transmitting side register to which signal change can logically reach, in the combination of logic values of the stationary signal propagated. Based on the result, it is determined whether or not the circuit is appropriate as a synchronization circuit for a single-signal transfer, thereby reducing pseudo errors.
    Type: Grant
    Filed: July 20, 2009
    Date of Patent: August 28, 2012
    Assignee: Renesas Electronics Corporation
    Inventors: Keiichi Suzuki, Susumu Abe
  • Patent number: 8254267
    Abstract: A traffic generator generates a plurality of traffic flows, with each of the traffic flows being associatable with one or more of a plurality of output interfaces of the traffic generator. In an illustrative embodiment, each of the output interfaces may have any desired combination of the traffic flows associated therewith. The traffic flows may be generated based on user selection of at least one of a protocol encapsulation, a packet size distribution model, a packet arrival time distribution model, a traffic model, and a packet payload description. Information characterizing one or more of the traffic flows may be stored as a traffic file in a memory associated with the traffic generator.
    Type: Grant
    Filed: July 15, 2003
    Date of Patent: August 28, 2012
    Assignee: Agere Systems Inc.
    Inventors: D Srivatsan, Vinoj N. Kumar, Kaushik Nath, Srinivasan Rangarajan, Chandramouleeswaran Sankaran
  • Patent number: 8225150
    Abstract: Semiconductor memory device includes a cell array including a plurality of unit cells; and a test circuit configured to perform a built-in self-stress (BISS) test for detecting a defect by performing a plurality of internal operations including a write operation through an access to the unit cells using a plurality of patterns during a test procedure carried out at a wafer-level.
    Type: Grant
    Filed: May 31, 2011
    Date of Patent: July 17, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventors: Hwang Hur, Chang-Ho Do, Jae-Bum Ko, Jin-Il Chung
  • Patent number: 8214706
    Abstract: A semiconductor device including an electronic circuit, a memory, and an error detecting module. The electronic circuit is configured to receive an input signal having been generated by a test module, and generate an output signal based on the input signal. The memory is configured to store a predetermined output value that is expected to be output from the electronic circuit based on the electronic receiving the input signal, wherein the predetermined output value is stored in the memory prior to the input signal being generated by the test module. The error detecting module is configured to (i) generate a sample value of the output signal, (ii) compare the sample value of the output signal to the predetermined output value stored in the memory, and (iii) generate a result signal that indicates whether the sample value of the output signal matches the predetermined output value.
    Type: Grant
    Filed: March 1, 2010
    Date of Patent: July 3, 2012
    Assignee: Marvell International Ltd.
    Inventors: Masayuki Urabe, Akio Goto
  • Patent number: 8214774
    Abstract: A System-on-Chip (SoC) may include logic blocks connected to each other and to external connections, and a hardware debug infrastructure logic connected to the logic blocks and for performing functional changes to a design layout of the SoC. The hardware debug infrastructure logic may include software re-configurable modules based upon the logic blocks obtained from substituting a mask programmable ECO base cell configured as a functional logic cell for a logic cell in the design layout.
    Type: Grant
    Filed: December 29, 2009
    Date of Patent: July 3, 2012
    Assignee: STMicroelectronics S.r.l.
    Inventors: Valentina Nardone, Stefania Stucchi, Luca Ciccarelli, Lorenzo Calí
  • Patent number: 8209569
    Abstract: A test set for evaluating network performance is described, and which may include an output device, a processor, a power supply, a memory unit, and a control terminal. The test set may be configured to receive a user-entered selection of one of a plurality of different bit-error rate profiles and generate a test signal exhibiting the selected bit-error rate profile. The test set may also supply the test signal exhibiting the selected bit-error rate profile to a network under test. In addition, the test set may receive as an input, an output from the network under test. The output may include the test signal exhibiting the selected bit-error rate. The test set may evaluate the received test signal and determine the performance of the network in response to the received test signal exhibiting the bit-error rate. The test set may then output the results of the evaluation.
    Type: Grant
    Filed: June 8, 2009
    Date of Patent: June 26, 2012
    Assignees: Verizon Services Corp., Verizon Services Organization Inc.
    Inventors: James E. Sylvester, Alexander Laparidis, Stanley Y. Lee, Muzaffer Kanaan
  • Patent number: 8209141
    Abstract: Disclosed are embodiments of a system and method for automatically selecting and generating test patterns for an at-speed structural test of an integrated circuit device. Specifically, a test pattern generation pass is started and proceeds until the “knee” of the simulated test coverage curve is observed. Next, the test patterns are optionally reordered and some are removed. Then, another test pattern generation pass is started. The process is repeated iteratively until some predetermined final stopping criterion is met. By performing multiple test pattern generation passes and reducing the number of available test patterns that can be generated with each pass, the method exploits the initial increase in the test coverage curve inherent in each pass and limits the overall test pattern count.
    Type: Grant
    Filed: August 26, 2009
    Date of Patent: June 26, 2012
    Assignee: International Business Machines Corporation
    Inventors: Robert W. Bassett, Andrew Ferko, Vikram Iyengar
  • Patent number: 8208326
    Abstract: Aspects of the disclosure provide an integrated circuit that is configured for parallel memory testing. The integrated circuit includes a first memory block and a first scrambler coupled to the first memory block during a memory testing. The first memory block includes a first memory array, and a first envelope configured to translate a driving address of the first memory block into a corresponding physical address of the first memory array based on a first memory configuration for using the first memory array. The first scrambler is configured to provide a first plurality of driving addresses and associated first data to the first envelope based on the first memory configuration. The first plurality of driving addresses and the first data are used to test the first memory array according to a first test pattern. Further, the integrated circuit includes a second memory block and a second scrambler coupled to the second memory block during the memory testing.
    Type: Grant
    Filed: June 9, 2010
    Date of Patent: June 26, 2012
    Assignee: Marvell Israel (M.I.S.L) Ltd.
    Inventors: Yosef Solt, Ofir Keren
  • Publication number: 20120151289
    Abstract: Methods and apparatus are provided related to testing electrical connectivity. A sequence of distinct test data signal patterns is issued. The test data signals are propagated by way of respective pathways and electrical connectors. A feedback signal is generated in accordance with a test function for each of the test data signal patterns. A test results message is generated in accordance with the feedback signals, which can include specific diagnostic or identifying information.
    Type: Application
    Filed: December 14, 2010
    Publication date: June 14, 2012
    Inventors: Jose Miguel Rodriguez, Matthew James West, Cesar Fernandez Espasa
  • Publication number: 20120144256
    Abstract: A system for testing or debugging a device under test having an embedded logic analyzer. In one embodiment, the system includes software stored in non-transitory memory for testing a device under test having an embedded logic analyzer, the software program product having instructions which, when executed by a computing device associated with the device under test cause the computing device to reconstruct signals of interest in the device under test based at least in part upon signals captured by the embedded logic analyzer during the test or debug session, and cause the computing device to display the reconstructed signals of interest to a user of the computing device.
    Type: Application
    Filed: November 30, 2011
    Publication date: June 7, 2012
    Inventors: James Ray Bailey, Christopher W. Case, James Patrick Sharpe, James Alan Ward, Michael Anthony Marra, III
  • Patent number: 8196106
    Abstract: Real-time statistical analysis is used to perform autonomic self-healing within the context of a 3-tier regression system for analysis of a computer system design component. Throughout the system, there are mechanisms for implementing self-healing if breakage is detected. The regression layer with the highest throughput is maintained in a much cleaner state than otherwise, thereby creating a more efficient environment for identifying and removing defects in the design.
    Type: Grant
    Filed: March 28, 2008
    Date of Patent: June 5, 2012
    Assignee: International Business Machines Corporation
    Inventors: Mike Chow, Rebecca Marie Gott, Christopher Dao-Ling Lei, Naseer Shamsul Siddique
  • Patent number: 8190953
    Abstract: A method and system for test vector selection in statistical volume diagnosis using failed test data is disclosed. A computer-implemented method receives failures representing defects detected by an integrated circuit testing apparatus from a plurality of integrated circuits. Each of the plurality of integrated circuits is tested with a set of test vectors generated by the integrated circuit testing apparatus, and each of the plurality of failures is associated with a failed test vector. Using a first ranking scheme, each of the failures is given a rank and the corresponding failed test vector in each of the plurality of integrated circuits is annotated with the rank. The annotated failed test vectors are grouped using a grouping scheme, and each of the groups is given a group rank. A first group of failed test vectors is selected based on the group rank and diagnostics is run on the first group of failed test vectors.
    Type: Grant
    Filed: October 3, 2008
    Date of Patent: May 29, 2012
    Inventors: Sameer H. Chakravarthy, Ratan Deep H. Singh, Thomas Webster Bartenstein, Joseph Michael Swenton, Shaleen Bhabu
  • Patent number: 8185336
    Abstract: Provided is a test apparatus that tests a device under test, including a vector expanding section that sequentially generates a plurality of test vectors; a predicting section that calculates a predicted value for each test vector by simulating an operation of the device under test, the predicted value indicating a prescribed characteristic value of the device under test to be measured while the device under test is supplied with a test signal corresponding to the test vector; a measuring section that obtains a measured value for each test vector by measuring the prescribed characteristic value of the device under test each time the device under test is supplied with a test vector; and a judging section that judges whether the device under test is defective based on a ratio between the predicted value and the measured value corresponding to each test vector.
    Type: Grant
    Filed: October 30, 2008
    Date of Patent: May 22, 2012
    Assignees: Advantest Corporation, The University of Tokyo
    Inventors: Yasuo Furukawa, Goerschwin Fey, Satoshi Komatsu, Masahiro Fujita
  • Patent number: 8185863
    Abstract: A delay fault test quality calculation apparatus for calculating delay fault test quality to be achieved by a test pattern to be applied to a semiconductor integrated circuit includes a defect distribution extraction unit, a delay fault-layout element information extraction unit, and a weighting unit. The delay fault test quality calculation apparatus further includes a delay fault test quality calculation unit which calculates the delay fault test quality on the basis of delay design information of the semiconductor integrated circuit, detection information of the test pattern to test the semiconductor integrated circuit, execution conditions of the test, a physical defect distribution extracts the defect distribution extraction unit, and a weights adds the weighting unit.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: May 22, 2012
    Assignees: Kabushiki Kaisha Toshiba, Fujitsu Semiconductor Limited
    Inventors: Yasuyuki Nozuyama, Atsuo Takatori
  • Publication number: 20120117436
    Abstract: A deferred scheduling capability supports deferred scheduling when performing testing via a scan chain of a unit under test. A processing module is configured to receive a plurality of test operations associated with a plurality of segments of a unit under test and to generate therefrom input test data configured to be applied to the unit under test via a Test Access Port (TAP). A reordering buffer module is configured to receive the input test data from the processing element and to buffer the input test data in a manner for reordering the input test data to compose an input test vector for a scan chain of the unit under test. A vector transformation module is configured to receive the input test vector from the reordering buffer module and to apply a vector transformation for the input test vector.
    Type: Application
    Filed: December 28, 2011
    Publication date: May 10, 2012
    Inventors: Michele Portolan, Bradford Van Treuren, Suresh Goyal
  • Patent number: 8176371
    Abstract: Electronic apparatus, systems, and methods of operating and constructing the electronic apparatus and/or systems include an embedded processor disposed in a logic chip to direct, among other functions, self-testing of an electronic device structure in conjunction with a pattern buffer disposed in the logic chip, when the electronic device structure is coupled to the logic chip. Additional apparatus, systems, and methods are disclosed.
    Type: Grant
    Filed: April 11, 2011
    Date of Patent: May 8, 2012
    Assignee: Micron Technology, Inc.
    Inventor: Joe M. Jeddeloh
  • Patent number: 8166361
    Abstract: Systems and methods of testing integrated circuits are disclosed. The systems include a test module configured to operate between automated testing equipment and an integrated circuit to be tested. The testing interface is configured to test time sensitive parameters of the integrated circuit. The testing interface includes components for generating addresses, commands, and test data to be conveyed to the integrated circuit as well as a clock adjustment component. By adjusting the clock synchronization controlling the test signals to be conveyed to the integrated circuit, set-up time and hold time can be tested. The systems are configured to test set-up time and hold time of individual data channels, for example, an individual address line of the integrated circuit.
    Type: Grant
    Filed: October 25, 2006
    Date of Patent: April 24, 2012
    Assignee: Rambus Inc.
    Inventor: Adrian E. Ong
  • Patent number: 8160839
    Abstract: A computationally implemented method for recognizing devices based on detected signal patterns includes generating a plurality of signal pattern templates for a plurality of radio frequency communication signals, determining a matching signal pattern template for the detected signal pattern, and overlaying the matching signal pattern over the detected signal pattern.
    Type: Grant
    Filed: October 16, 2008
    Date of Patent: April 17, 2012
    Assignee: MetaGeek, LLC
    Inventors: Ryan Woodings, Brian Tuttle
  • Patent number: 8161338
    Abstract: Exemplary embodiments of a compactor for compacting test responses are disclosed. In certain embodiments, the compactor comprises circular registers and has multiple inputs. The circular registers can have lengths that are relatively prime or prime. In certain implementations, the compactors are able to detect errors commonly observed from real defects, such as errors of small multiplicity and burst errors. Certain embodiments of the compactor operate according to modular arithmetic. Furthermore, because circular registers do not multiply errors or unknown states, embodiments of the disclosed compactors can tolerate one or more unknown states or at least exhibit a desirably high tolerance of such states.
    Type: Grant
    Filed: October 13, 2006
    Date of Patent: April 17, 2012
    Assignee: Mentor Graphics Corporation
    Inventors: Janusz Rajski, Wojciech Rajski
  • Publication number: 20120089879
    Abstract: A method and system for identifying power defects using test pattern switching activity is disclosed. In one embodiment, a plurality of test patterns is applied to a circuit under test, and failure test patterns are identified from the plurality of test patterns by comparing the test result with the predicted test result. A switching activity count is obtained for each of the plurality of test patterns. Based on the switching activity count, ranks for each of the plurality of test patterns are provided. A correlation analysis is performed between the failure test patterns and the ranks of the switching activities. When there is a high correlation between the failure test pattern and the ranks of the switching activities, it is determined that the circuit likely contains a power defect. A power defect analysis is performed under the presence of the high correlation.
    Type: Application
    Filed: October 12, 2010
    Publication date: April 12, 2012
    Inventors: Thomas Webster Bartenstein, Patrick Wayne Gallagher
  • Patent number: 8156395
    Abstract: A single-pass method for test pattern generation for sequential circuits employs a local-fault at each time-frame. The result is that a fault arriving at circuit primary output lines unambiguously signals the discovery of a valid test pattern sequence for the fault. The valid test pattern sequence is reconstructed from stored history and is used to test a sequential circuit.
    Type: Grant
    Filed: July 27, 2009
    Date of Patent: April 10, 2012
    Assignee: Yardstick Research, LLC
    Inventor: Delmas R. Buckley, Jr.
  • Patent number: 8148996
    Abstract: The invention discloses a circuit testing apparatus for testing a device under testing. The circuit testing apparatus includes a logic tester and a signal-measuring module. The logic tester is coupled to the device under testing for providing a testing signal and a trigger signal, and then determining a testing result for the device under testing according to a digital measuring result. The signal-measuring module coupled to the device under testing and the logic tester, is utilized for measuring a DC signal generated by the device under testing according to the testing signal after receiving the trigger signal, and generating the digital measuring result.
    Type: Grant
    Filed: May 9, 2007
    Date of Patent: April 3, 2012
    Assignee: Princeton Technology Corporation
    Inventors: Cheng-Yung Teng, Hung-Wei Chen, Yung-Yu Wu
  • Patent number: 8145958
    Abstract: An integrated circuit and method for testing memory on the integrated circuit are provided. The integrated circuit has processing logic for performing data processing operations on data, and a plurality of memory units for storing data for access by the processing logic. Further, memory test logic is provided to perform a sequence of tests in order to seek to detect memory defects in the memory units. The memory test logic comprises a plurality of test wrapper units, each test wrapper unit associated with one of the memory units and being operable to execute tests on the associated memory unit, and a test controller for controlling performance of the sequence of tests by communicating with each of the test wrapper units to provide test data defining each test to be executed by that test wrapper unit.
    Type: Grant
    Filed: November 10, 2005
    Date of Patent: March 27, 2012
    Assignee: ARM Limited
    Inventors: Robert Campbell Aitken, Gary Robert Waggoner
  • Patent number: 8140920
    Abstract: An approach is provided for bit error rate characterization. A test signal representing one or more Ethernet frames exhibiting a particular bit error rate is generated. The test signal is output to a device under test. Traffic is received from the device under test. A determination is made as to whether a link failure condition exists at a port on an Ethernet switch.
    Type: Grant
    Filed: February 28, 2011
    Date of Patent: March 20, 2012
    Assignee: Verizon Patent and Licensing Inc.
    Inventors: Scott R. Kotrla, Christopher N. DelRegno, Michael U. Bencheck, Matthew W. Turlington, Glenn A. Wellbrock
  • Patent number: 8112686
    Abstract: Techniques for storing and using compressed restrict values for selected scan chains and flip-flops, such that the states that need to be applied to those flip flops need not be solved by a linear equation system solver, such as a linear equation system solver provided by an automatic test pattern generation (ATPG) tool. Selected restrict values can then be injected into test patterns for those flip-flop combinations that need to be set in a certain shift cycle or those flip-flops that need to be initialized one after another (e.g., for serial settings in one scan chain).
    Type: Grant
    Filed: December 1, 2009
    Date of Patent: February 7, 2012
    Assignee: Mentor Graphics Corporation
    Inventors: Friedrich Hapke, Michael Wittke, Reinhard Meier
  • Patent number: 8090565
    Abstract: In one embodiment, a system model models characteristics of a real-world system. The system model includes a plurality of sub-portions that each correspond to a component of the real-world system. A plurality of test vectors are applied to the system model and coverage achieved by the test vectors on the sub-portions of the system model is measured. In response to a failure of the real world system, a suspected failed component of the real-world system is matched to a particular sub-portion of the system model. A test vector to be applied to the real-world system to test the suspected failed component is selected in response to coverage achieved on the particular sub-portion of the system model.
    Type: Grant
    Filed: January 8, 2008
    Date of Patent: January 3, 2012
    Assignee: The MathWorks, Inc.
    Inventor: Thomas Gaudette
  • Patent number: 8086925
    Abstract: A method for testing an electronic circuit comprises selecting a first log interval, a first log start pattern, a first log end pattern, and a first subset range of LBIST patterns from a plurality of LBIST patterns arranged in an order, wherein each LBIST pattern of the subset range of LBIST patterns causes an associated output of an electronic circuit. The method tests an electronic circuit in a first test by applying to the electronic circuit the first subset range of LBIST patterns sequentially in the order, thereby generating a first plurality of associated outputs. The method stores a first subset of associated outputs based on the first log interval, the first log start pattern, and the first log end pattern. The method compares the subset of associated outputs with known outputs to identify a first output mismatch.
    Type: Grant
    Filed: May 20, 2008
    Date of Patent: December 27, 2011
    Assignee: International Business Machines Corporation
    Inventors: Benjamin Robert Gass, Abel Alaniz, Asher Shlomo Lazarus, Timothy M. Skergan
  • Patent number: 8086918
    Abstract: A test pattern generating unit generates a test pattern in which unconverted data is arranged such that same values of 0 or 1 bits in converted data according to a code conversion table are successively transferred to each of a plurality of serial transfer channels that a high-speed serial transfer device has. A basic pattern setting unit sets a basic pattern while considering a byte order method and an RD value of code conversion in the high-speed serial transfer device. A basic pattern resetting unit resets the basic pattern in accordance with a channel usage method of a bit transfer order in the high-speed serial transfer device. A basic pattern rearranging unit performs rearrangement such that the basic pattern is transferred to each of the channels in accordance with the number of used channels and a channel usage method such as bit transfer order in the high-speed serial transfer device.
    Type: Grant
    Filed: November 5, 2010
    Date of Patent: December 27, 2011
    Assignee: Fujitsu Limited
    Inventor: Tetsuo Kurayama