Mis Technology (epo) Patents (Class 257/E21.616)

  • Publication number: 20110223729
    Abstract: A method of fabricating a semiconductor integrated circuit including a power diode includes providing a semiconductor substrate of first conductivity type, fabricating a integrated circuit such as a CMOS transistor circuit in a first region of the substrate, and fabricating a power diode in a second region in the semiconductor substrate. Dielectric material is formed between the first region and the second regions thereby providing electrical isolation between the integrated circuit in the first region and the power diode in the second region. The power diode can comprise a plurality of MOS source/drain elements and associated gate elements all connected together by one electrode of the diode, and a semiconductor layer in the second region can function as another source/drain of the power diode.
    Type: Application
    Filed: May 16, 2011
    Publication date: September 15, 2011
    Applicant: DIODES, INCORPORATED
    Inventors: Paul Chang, Geeng-Chuan Chern, Prognyan Ghosh, Wayne Y.W. Hsueh, Vladmir Rodov
  • Publication number: 20110223732
    Abstract: Different threshold voltages of transistors of the same conductivity type in a complex integrated circuit may be adjusted on the basis of different Miller capacitances, which may be accomplished by appropriately adapting a spacer width and/or performing a tilted extension implantation. Thus, efficient process strategies may be available to controllably adjust the Miller capacitance, thereby providing enhanced transistor performance of low threshold transistors while not unduly contributing to process complexity compared to conventional approaches in which threshold voltage values may be adjusted on the basis of complex halo and well doping regimes.
    Type: Application
    Filed: May 10, 2011
    Publication date: September 15, 2011
    Inventors: Uwe Griebenow, Jan Hoentschel, Kai Frohberg, Heike Berthold, Katrin Reiche, Frank Feustel, Kerstin Ruttloff
  • Publication number: 20110217821
    Abstract: A method of manufacturing doping patterns includes providing a substrate having a plurality of STIs defining and electrically isolating a plurality of active regions in the substrate, forming a patterned photoresist having a plurality of exposing regions for exposing the active regions and the STIs in between the active regions on the substrate, and performing an ion implantation to form a plurality of doping patterns in the active regions.
    Type: Application
    Filed: March 3, 2010
    Publication date: September 8, 2011
    Inventors: Huan-Ting Tseng, Chun-Hsien Huang, Hung-Chin Huang, Chen-Wei Lee
  • Publication number: 20110212579
    Abstract: An integrated circuit comprises a substrate and a buried dielectric formed in the substrate. The buried dielectric has a first thickness in a first region, a second buried dielectric thickness in a second region, and a step between the first and second regions. A semiconductor layer overlies the buried dielectric.
    Type: Application
    Filed: May 4, 2011
    Publication date: September 1, 2011
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hao-Yu Chen, Chang-Yun Chang, Di-Hong Lee, Fu-Liang Yang
  • Publication number: 20110210956
    Abstract: A current sensor which can be used to measure current flowing through a semiconductor substrate of a direct current (DC) to DC converter or other device. The current sensor can provide continuous measurements during operation of the DC to DC converter. In one embodiment, a first current sensor can be use to measure current flow through a high side transistor and a second current sensor can be used to measure current flow through a low side transistor. In another embodiment, a single current sensor can be used to measure current flow through a semiconductor substrate whether the high side transistor is on or off, the low side transistor is on or off, or during switching of either the high side transistor or low side transistor.
    Type: Application
    Filed: September 21, 2010
    Publication date: September 1, 2011
    Inventors: Dev Alok GIRDHAR, Francois HEBERT
  • Publication number: 20110210384
    Abstract: According to one embodiment, a scalable integrated MIM capacitor in a semiconductor die includes a high-k dielectric segment over a substrate and a metal segment over the high-k dielectric segment, where the metal segment forms a capacitor terminal of the integrated MIM capacitor. The capacitor further includes a filler laterally separating consecutive capacitor terminals, where the filler can be used as a capacitor dielectric of the integrated MIM capacitor. In one embodiment, the metal segment comprises a gate metal. In another embodiment, the integrated MIM capacitor is formed substantially concurrently with one or more transistors without requiring additional fabrication process steps.
    Type: Application
    Filed: March 1, 2010
    Publication date: September 1, 2011
    Applicant: BROADCOM CORPORATION
    Inventors: Wei Xia, Xiangdong Chen
  • Publication number: 20110198698
    Abstract: A semiconductor device including a substrate, a plurality of stacked gate structures, a plurality of doped regions, a plurality of liner layers, a plurality of conductive layers, a plurality of dielectric layers and a plurality of word lines is provided. The substrate has a plurality of trenches therein. The stacked gate structures are on the substrate between the trenches. The doped regions are in the substrate at sidewalls or bottoms of the trenches. The liner layers are on at least a portion of sidewalls of the stacked gate structures and on sidewalls of the trenches. The conductive layers are in the trenches and electrically connected to the doped regions. The dielectric layers are on the conductive layers and between the stacked gate structures. The word lines are on the substrate and electrically connected to the stacked gate structures.
    Type: Application
    Filed: July 12, 2010
    Publication date: August 18, 2011
    Applicant: MACRONIX International Co., Ltd.
    Inventors: GUAN-DE LEE, Chien-Hung Liu, Shou-Wei Huang, Ying-Tso Chen
  • Publication number: 20110198705
    Abstract: According to one disclosed embodiment, a method for fabricating an integrated resistor in a semiconductor die includes forming a high-k dielectric over a substrate and a metal layer over the high-k dielectric, where the metal layer forms a resistive element of the integrated resistor. The method further includes forming an un-doped polysilicon layer over the metal layer, where a portion of the un-doped polysilicon layer can be selectively doped and used to form a conductive path to the resistive element of the integrated resistor. In one embodiment, the metal layer comprises a gate metal. In one embodiment, the integrated resistor is formed substantially concurrently with one or more transistors without requiring additional fabrication process steps. One disclosed embodiment is an integrated resistor formed according to the disclosed method.
    Type: Application
    Filed: February 18, 2010
    Publication date: August 18, 2011
    Applicant: BROADCOM CORPORATION
    Inventors: Xiangdong Chen, Wei Xia
  • Publication number: 20110193160
    Abstract: An electronic device can include a buried conductive region, a buried insulating layer over the buried conductive region, and a semiconductor layer disposed over the buried insulating layer, wherein the semiconductor layer has a primary surface and an opposing surface, and the buried conductive region is disposed closer to the opposing surface than to the primary surface. The electronic device can also include a current-carrying electrode of a first transistor, wherein the current carrying electrode is disposed along the primary surface and spaced apart from the buried conductive layer. The electronic device can also include a vertical conductive structure extending through the buried insulating layer, wherein the vertical conductive structure is electrically connected to the current-carrying electrode and the buried conductive region.
    Type: Application
    Filed: February 8, 2010
    Publication date: August 11, 2011
    Inventors: Gary H. Loechelt, Gordon M. Grivna, Peter J. Zdebel
  • Publication number: 20110193173
    Abstract: There are provided a method of manufacturing a semiconductor device which achieves a reduction in implantation masks, and such a semiconductor device. By implanting boron into NMOS regions using a resist mask and another resist mask as the implantation masks, p-type impurity regions serving as the halo regions of access transistors and drive transistors are formed. By further implanting phosphorus or arsenic into a PMOS region using another resist mask as the implantation mask, n-type impurity regions serving as the halo regions of load transistors are formed.
    Type: Application
    Filed: February 2, 2011
    Publication date: August 11, 2011
    Inventors: Koji NII, Motoshige Igarashi
  • Publication number: 20110193168
    Abstract: A method for manufacturing a semiconductor device, which includes the steps of: forming a mask layer (20) on a gate insulating film (18), the mask layer (20) having openings over the portions of first and second semiconductor layers that are destined to become low-concentration impurity regions and source and drain regions; forming first conductivity type implantation regions (24b, 24c) in the first and second semiconductor layers respectively by implanting a first conductivity type impurity (22) to the first and second semiconductor layers through the openings in the mask layer (20); forming first and second gate electrodes (26b, 26c) to cover a portion of the first conductivity type implantation regions and portions of the first and second semiconductor layers that are destined to become channel regions; forming another mask layer (28) which has openings over portions of the first conductivity type implantation region (24b) of the first semiconductor layer, said portions being located at both ends of the fi
    Type: Application
    Filed: October 22, 2009
    Publication date: August 11, 2011
    Applicant: SHARP KABUSHIKI KAISHA
    Inventor: Hiroyuki Kaigawa
  • Publication number: 20110189827
    Abstract: A method of fabricating an efuse structure, a resistor structure and a transistor structure. First, a work function metal layer, a polysilicon layer and a first hard mask layer are formed to cover a transistor region, a resistor region and an e-fuse region on a substrate. Then, the work function metal layer on the resistor region and the efuse region is removed by using a first photomask. Later, a gate, a resistor, an efuse are formed in the transistor region, the resistor region and the efuse region respectively. After that, a dielectric layer aligning with the top surface of the gate is formed. Later, the polysilicon layer in the gate is removed by taking a second hard mask as a mask to form a recess. Finally, a metal layer fills up the recess.
    Type: Application
    Filed: February 4, 2010
    Publication date: August 4, 2011
    Inventors: Che-Hua Hsu, Zhi-Cheng Lee, Cheng-Guo Chen, Shao-Hua Hsu
  • Publication number: 20110186924
    Abstract: A semiconductor device is directed to reduce a leakage current generated by parasitic field effects and increase or improve operational reliability by forming an insulating layer inside a word line. An embodiment of the present invention provides a semiconductor device comprising a gate pattern over an active region and a device isolation structure, wherein the gate pattern comprises a first gate pattern over the active region and a second gate pattern over the device isolation structure, the first and the second gate patterns having a different structure.
    Type: Application
    Filed: July 20, 2010
    Publication date: August 4, 2011
    Applicant: Hynix Semiconductor Inc.
    Inventor: Sung Gil CHUN
  • Patent number: 7981740
    Abstract: When forming transistor elements on the basis of sophisticated high-k metal gate structures, the efficiency of a replacement gate approach may be enhanced by more efficiently adjusting the gate height of transistors of different conductivity type when the dielectric cap layers of transistors may have experienced a different process history and may thus require a subsequent adaptation of the final cap layer thickness in one type of the transistors. For this purpose, a hard mask material may be used during a process sequence for forming offset spacer elements in one gate electrode structure while covering another gate electrode structure.
    Type: Grant
    Filed: June 23, 2010
    Date of Patent: July 19, 2011
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Markus Lenski, Kerstin Ruttloff, Martin Mazur, Frank Seliger, Ralf Otterbach
  • Patent number: 7982253
    Abstract: A semiconductor device with a dynamic gate drain capacitance. One embodiment provides a semiconductor device. The device includes a semiconductor substrate, a field effect transistor structure including a source region, a first body region, a drain region, a gate electrode structure and a gate insulating layer. The gate insulating layer is arranged between the gate electrode structure and the body region. The gate electrode structure and the drain region partially form a capacitor structure including a gate-drain capacitance configured to dynamically change with varying reverse voltages applied between the source and drain regions. The gate-drain capacitance includes at least one local maximum at a given threshold or a plateau-like course at given reverse voltage.
    Type: Grant
    Filed: August 1, 2008
    Date of Patent: July 19, 2011
    Assignee: Infineon Technologies Austria AG
    Inventors: Anton Mauder, Hans-Joachim Schulze, Carolin Tolksdorf, Winfried Kaindl, Armin Willmeroth
  • Patent number: 7977715
    Abstract: An LDMOS device includes a substrate of a first conductivity type, an epitaxial layer on the substrate, a buried well of a second conductivity type opposite to the first conductivity type in a lower portion of the epitaxial layer, the epitaxial layer being of the first conductivity type below the buried layer. The device further includes a field oxide located between a drain and both a gate on a gate oxide and a source with a saddle shaped vertical doping gradient of the second conductivity type in the epitaxial layer above the buried well such that the dopant concentration in the epitaxial layer above the buried well and below a central portion of the field oxide is lower than the dopant concentration at the edges of the field oxide nearest the drain and nearest the gate.
    Type: Grant
    Filed: March 17, 2008
    Date of Patent: July 12, 2011
    Assignee: Fairchild Semiconductor Corporation
    Inventor: Jun Cai
  • Publication number: 20110163376
    Abstract: A high voltage (HV) device includes a well region of a first dopant type disposed in a substrate. A first well region of a second dopant type is disposed in the well region of the first dopant type. An isolation structure is at least partially disposed in the well region of the first dopant type. A first gate electrode is disposed over the isolation structure and the first well region of the second dopant type. A second well region of the second dopant type is disposed in the well region of the first dopant type. The second well region of the second dopant type is spaced from the first well region of the second dopant type. A second gate electrode is disposed between and over the first well region of the second dopant type and the second well region of the second dopant type.
    Type: Application
    Filed: January 5, 2010
    Publication date: July 7, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chih-Chang CHENG, Ruey-Hsin Liu, Chih-Wen Yao, Chia-Chin Shen, Eric Huang, Fu Chin Yang, Chun Lin Tsai, Hsiao-Chin Tuan
  • Publication number: 20110157039
    Abstract: A liquid crystal display device includes: a first auxiliary line connected to the blocking pattern and below a gate line; a second auxiliary line in each touch block, and located at the same layer as, the first auxiliary line being made of the same material as the gate line; a first connection pattern contacting the first and second auxiliary lines; a common electrode in each touch block; x and y sensing lines on the common line and overlapping the gate and data lines, respectively; a pixel electrode in each pixel region, connected to a drain electrode through a drain contact hole, and including a plurality of openings; and a second connection pattern contacting the first connection pattern and the x sensing line through first and second contact holes, respectively.
    Type: Application
    Filed: October 14, 2010
    Publication date: June 30, 2011
    Inventors: Hee-Sun Shin, Seok-Woo Lee, Kwang-Sik Hwang
  • Publication number: 20110156161
    Abstract: A semiconductor device including a substrate, a first device, a second device and an interlayer dielectric layer is provided. The substrate has a first area and a second area. The first device is disposed in the first area of the substrate and includes a first dielectric layer on the substrate and a metal gate on the first dielectric layer. The second device is in the second area of the substrate and includes a second dielectric layer on the substrate and, a polysilicon layer on the second dielectric layer. It is noted that the height of the polysilicon layer is less than that of the metal gate of the first device. The interlayer dielectric layer covers the second device.
    Type: Application
    Filed: December 29, 2009
    Publication date: June 30, 2011
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Kun-Szu Tseng, Cheng-Wen Fan, Chih-Yu Tseng, Victor Chiang Liang
  • Publication number: 20110140194
    Abstract: This invention discloses a semiconductor power device that includes an active cell area having a plurality of power transistor cells. Each of said power transistor cells has a planar Schottky diode that includes a Schottky junction barrier metal covering areas above gaps between separated body regions between two adjacent power transistor cells. The separated body regions further provide a function of adjusting a leakage current of said Schottky diode in each of said power transistor cells. Each of the planar Schottky diodes further includes a Shannon implant region disposed in a gap between the separated body regions of two adjacent power transistor cells for further adjusting a leakage current of said Schottky diode. Each of the power transistor cells further includes heavy body doped regions in the separated body regions next to source regions surrounding said Schottky diode forming a junction barrier Schottky (JBS) pocket region.
    Type: Application
    Filed: February 17, 2011
    Publication date: June 16, 2011
    Inventors: Anup Bhalla, Xiaobin Wang, Moses Ho
  • Publication number: 20110133271
    Abstract: In one embodiment the present invention includes a semiconductor device. The semiconductor device comprises a first semiconductor region, a second semiconductor region and a trench region. The first semiconductor region is of a first conductivity type and a first conductivity concentration. The trench region includes a metal layer in contact with the first semiconductor region to form a metal-semiconductor junction. The second semiconductor region is adjacent to the first semiconductor region that has a second conductivity type and a second conductivity concentration. The second semiconductor region forms a PN junction with the first semiconductor region, and the trench region has a depth such that the metal-semiconductor junction is proximate to the PN junction.
    Type: Application
    Filed: December 3, 2009
    Publication date: June 9, 2011
    Inventor: Chiao-Shun Chuang
  • Publication number: 20110133283
    Abstract: A semiconductor device includes a structure in which a difference in height between a cell region and a peripheral region are formed so that a buried gate structure of the cell region is substantially equal in height to the gate of the peripheral region, whereby a bit line and a storage node contact can be more easily formed in the cell region and parasitic capacitance can be decreased decreased. The semiconductor device includes a cell region including a gate buried in a substrate, and a peripheral region adjacent to the cell region, where a step height between a surface of the cell and a surface of the peripheral region is generated.
    Type: Application
    Filed: December 30, 2009
    Publication date: June 9, 2011
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Jeong Hoon PARK, Dong Sauk KIM
  • Patent number: 7956423
    Abstract: A method of a semiconductor device, which includes an insulated-gate FET and an electronic element, includes three steps. The first step is the step of forming a trench gate of the insulated-gate FET in a first region of a semiconductor base and a trench element-isolation layer in a second region of the semiconductor base, simultaneously. The second step is the step of forming a first diffusion layer of the insulated-gate FET on a side of the trench gate and a second diffusion layer of the electronic element in a region surrounded by the trench element-isolation layer, simultaneously. The third step is the step of forming a third diffusion layer of the insulated-gate FET in the first diffusion layer and a fourth diffusion layer of the electronic element in the second diffusion layer, simultaneously.
    Type: Grant
    Filed: May 26, 2009
    Date of Patent: June 7, 2011
    Assignee: Renesas Electronics Corporation
    Inventors: Takao Arai, Sachiko Shirai, legal representative
  • Patent number: 7951662
    Abstract: A method of fabricating a strained silicon transistor is provided. Amorphous silicon is formed below the transistor region before the transistor is formed. By using the tensile/compressive strainer, amorphous silicon is recrystallized to form a strained silicon layer. In addition, the dopants in the well can be driven in and activated by using the same annealing process with the amorphous silicon recrystallization.
    Type: Grant
    Filed: July 20, 2008
    Date of Patent: May 31, 2011
    Assignee: United Microelectronics Corp.
    Inventor: Chao-Ching Hsieh
  • Publication number: 20110117710
    Abstract: A method of fabricating an efuse, a resistor and a transistor includes the following steps: A substrate is provided. Then, a gate, a resistor and an efuse are formed on the substrate, wherein the gate, the resistor and the efuse together include a first dielectric layer, a polysilicon layer and a hard mask. Later, a source/drain doping region is formed in the substrate besides the gate. After that, the hard mask in the resistor and the efuse is removed. Subsequently, a salicide process is performed to form a silicide layer on the source/drain doping region, the resistor, and the efuse. Then, a planarized second dielectric layer is formed on the substrate and the polysilicon in the gate is exposed. Later, the polysilicon in the gate is removed to form a recess. Finally a metal layer is formed to fill up the recess.
    Type: Application
    Filed: November 19, 2009
    Publication date: May 19, 2011
    Inventors: Yung-Chang Lin, Kuei-Sheng Wu, Chang-Chien Wong, Ching-Hsiang Tseng
  • Publication number: 20110111567
    Abstract: The semiconductor device includes a first MIS transistor including a gate insulating film 92, a gate electrode 108 formed on the gate insulating film 92 and source/drain regions 154, a second MIS transistor including a gate insulating film 96 thicker than the gate insulating film 92, a gate electrode 108 formed on the gate insulating film 96, source/drain regions 154 and a ballast resistor 120 connected to one of the source/drain regions 154, a salicide block insulating film 146 formed on the ballast resistor 120 with an insulating film 92 thinner than the gate insulating film 96 interposed therebetween, and a silicide film 156 formed on the source/drain regions 154.
    Type: Application
    Filed: January 20, 2011
    Publication date: May 12, 2011
    Applicant: FUJITSU LIMITED
    Inventors: Tomohiko TSUTSUMI, Taiji EMA, Hideyuki KOJIMA, Toru ANEZAKI
  • Publication number: 20110108839
    Abstract: A thin film transistor array panel according to an exemplary embodiment of the present invention comprises a substrate, a gate line formed on the substrate, a gate insulating layer formed on the gate line, a semiconductor layer formed on the gate insulating layer, and a data line formed on the semiconductor layer, wherein the data line comprises a lower data layer, an upper data layer, a data oxide layer, and a buffer layer, wherein the upper data layer and the buffer layer comprise a same material.
    Type: Application
    Filed: June 23, 2010
    Publication date: May 12, 2011
    Inventors: Sung-Ryul Kim, Jean-Ho Song, Jae-Hyoung Youn, O-Sung Seo, Byeong-Beom Kim, Je-Hyeong Park, Jong-In Kim, Jae-Jin Song
  • Publication number: 20110104841
    Abstract: A method of fabricating a thin film transistor for an active matrix display using reduced masking operations includes patterning a gate on a substrate. A gate dielectric is formed over the gate and a semiconducting metal oxide is deposited on the gate dielectric. A channel protection layer is patterned on the semiconducting metal oxide overlying the gate to define a channel area and to expose the remaining semiconducting metal oxide. A source/drain metal layer is deposited on the structure and etched through to the channel protection layer above the gate to separate the source/drain metal layer into source and drain terminals and the source/drain metal layer and the semiconducting metal oxide are etched through at the periphery to isolate the transistor. A nonconductive spacer is patterned on the transistor and portions of the surrounding source/drain metal layer.
    Type: Application
    Filed: November 4, 2009
    Publication date: May 5, 2011
    Inventors: Chan-Long Shieh, Fatt Foong, Gang Yu
  • Publication number: 20110101469
    Abstract: In MOS transistor elements, a strain-inducing semiconductor alloy may be embedded in the active region with a reduced offset from the channel region by applying a spacer structure of reduced width. In order to reduce the probability of creating semiconductor residues at the top area of the gate electrode structure, a certain degree of corner rounding of the semiconductor material may be introduced, which may be accomplished by ion implantation prior to epitaxially growing the strain-inducing semiconductor material. This concept may be advantageously combined with the provision of sophisticated high-k metal gate electrodes that are provided in an early manufacturing stage.
    Type: Application
    Filed: September 29, 2010
    Publication date: May 5, 2011
    Inventors: Stephan Kronholz, Roman Boschke, Maciej Wiatr, Peter Javorka
  • Publication number: 20110097867
    Abstract: A method of fabricating a semiconductor device is provided. In one embodiment, a gate structure is formed on a substrate, the gate structure having a gate dielectric layer and a first polysilicon layer formed above the gate dielectric layer. A passivation layer is formed above the first polysilicon layer. A second polysilicon layer is formed above the passivation layer. The second polysilicon layer and the passivation layer are removed. A metal layer is formed above the first polysilicon layer. The first polysilicon layer is reacted with the metal layer to silicide the first polysilicon layer. Any un-reacted metal layer is thereafter removed.
    Type: Application
    Filed: June 21, 2010
    Publication date: April 28, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Shun Wu LIN, Matt YEH
  • Publication number: 20110097860
    Abstract: The invention provides a method of manufacturing a semiconductor device having a MOS transistor, a resistor element, etc on one semiconductor substrate, in which the number of masks and the number of manufacturing steps are decreased. In an NMOS formation region, a channel stopper layer is formed in a P type well by a first ion implantation process. Then a punch-through prevention layer is formed in the P type well by a second ion implantation process. On the other hand, in a first high resistor element formation region and a second high resistor element formation region, utilizing the first and second ion implantation processes, a resistor layer is formed in an N type well.
    Type: Application
    Filed: September 3, 2010
    Publication date: April 28, 2011
    Applicants: SANYO Electric Co., Ltd., SANYO Semiconductor Co., Ltd.
    Inventor: Izuo IIDA
  • Publication number: 20110095360
    Abstract: A transistor component and method of forming a transistor component. One embodiment provides a semiconductor arrangement including a semiconductor body having a at least one first trench, a first field electrode arranged in the lower trench section of the at least one first trench and being insulated from the semiconductor body by a field electrode dielectric. A dielectric layer is formed on the first field electrode in the at least one first trench, including depositing a dielectric material on a first side of the semiconductor body and on the field plate at a higher deposition rate than on sidewalls of the at least one first trench.
    Type: Application
    Filed: October 26, 2009
    Publication date: April 28, 2011
    Applicant: INFINEON TECHNOLOGIES AUSTRIA AG
    Inventors: Joachim Krumrey, Gerhard Noebauer, Martin Poelzl, Marc Probst
  • Patent number: 7932143
    Abstract: Methods for protecting gate stacks during fabrication of semiconductor devices and semiconductor devices fabricated from such methods are provided. Methods for fabricating a semiconductor device include providing a semiconductor substrate having an active region and a shallow trench isolation (STI) region. Epitaxial layer is formed on the active region to define a lateral overhang portion in a divot at the active region/STI region interface. A gate stack is formed having a first gate stack-forming layer overlying the semiconductor substrate. First gate stack-forming layer includes a non-conformal layer of metal gate-forming material which is directionally deposited to form a thinned break portion just below the lateral overhang portion. After the step of forming the gate stack, a first portion of the non-conformal layer is in the gate stack and a second portion is exposed. The thinned break portion at least partially isolates the first and second portions during subsequent etch chemistries.
    Type: Grant
    Filed: October 22, 2009
    Date of Patent: April 26, 2011
    Assignee: GlobalFoundries Inc.
    Inventors: Rohit Pal, Michael Hargrove, Frank Bin Yang
  • Publication number: 20110089498
    Abstract: A method of fabricating a semiconductor device is provided that includes providing a semiconductor substrate having a first portion and a second portion, forming a first transistor in the first portion of the substrate, the first transistor being operable at a first voltage, and forming a second transistor in the second portion of the substrate, the second transistor being operable at a second voltage greater than the first voltage. The formation of the second transistor includes forming an extended feature of the second transistor with a photomask that is used to adjust a threshold voltage of the first transistor.
    Type: Application
    Filed: October 20, 2009
    Publication date: April 21, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Jan Sonsky, Anco Heringa
  • Publication number: 20110092061
    Abstract: A method of forming silicon oxide includes depositing a silicon nitride-comprising material over a substrate. The silicon nitride-comprising material has an elevationally outermost silicon nitride-comprising surface. Such surface is treated with a fluid that is at least 99.5% H2O by volume. A polysilazane-comprising spin-on dielectric material is formed onto the H2O-treated silicon nitride-comprising surface. The polysilazane-comprising spin-on dielectric material is oxidized to form silicon oxide. Other implementations are contemplated.
    Type: Application
    Filed: October 20, 2009
    Publication date: April 21, 2011
    Inventors: Yunjun Ho, Brent Gilgen
  • Publication number: 20110092029
    Abstract: An integrated circuit containing logic transistors and an array of SRAM cells in which the logic transistors are formed in semiconductor material with one crystal orientation and the SRAM cells are formed in a second semiconductor layer with another crystal orientation. A process of forming an integrated circuit containing logic transistors and an array of SRAM cells in which the logic transistors are formed in a top semiconductor layer with one crystal orientation and the SRAM cells are formed in an epitaxial semiconductor layer with another crystal orientation. A process of forming an integrated circuit containing logic transistors and an array of SRAM cells in which the SRAM cells are formed in a top semiconductor layer with one crystal orientation and the logic transistors are formed in an epitaxial semiconductor layer with another crystal orientation.
    Type: Application
    Filed: December 21, 2010
    Publication date: April 21, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Theodore W. Houston
  • Publication number: 20110086480
    Abstract: A trench is formed so as to reach a p?-type epitaxial layer from an upper surface of a source region. A gate electrode is formed so as to bury the trench. Each of body contact trenches is formed away from the gate electrode. A body contact region is formed at the bottom of the body contact trench. An n-type semiconductor region that is a feature of the present invention is formed in a layer below each body contact region. The impurity concentration of the n-type semiconductor region is higher than a channel forming area and lower than the body contact region.
    Type: Application
    Filed: December 16, 2010
    Publication date: April 14, 2011
    Inventors: HITOSHI MATSUURA, YOSHITO NAKAZAWA
  • Publication number: 20110084335
    Abstract: A power semiconductor device with drain voltage protection includes a semiconductor substrate, at least a trench gate transistor device and at least a trench ESD protection device. An upper surface of the semiconductor substrate has a first trench and a second trench. The trench gate transistor device is disposed in the first trench and the semiconductor substrate. The trench ESD protection device is disposed in the second trench, and includes a first doped region, a second doped region and a third doped region. The first doped region and the third doped region are respectively electrically connected to a drain and a gate of the trench gate transistor device.
    Type: Application
    Filed: November 8, 2009
    Publication date: April 14, 2011
    Inventors: Wei-Chieh Lin, Guo-Liang Yang, Jen-Hao Yeh, Jia-Fu Lin
  • Publication number: 20110073958
    Abstract: A memory cell having N transistors including at least one pair of access transistors, one pair of pull-down transistors, and one pair of pull-up transistors to form a memory cell, wherein N is an integer at least equal to six, wherein each of the access transistors and each of the pull-down transistors is a same one of an n-type or a p-type transistor, and each of the pull-up transistors is the other of an n-type or a p-type transistor, wherein at least one of the pair of the pull down transistors and the pair of the pull up transistors are asymmetric.
    Type: Application
    Filed: September 25, 2009
    Publication date: March 31, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Leland Chang, Jeffrey W. Sleight
  • Publication number: 20110074663
    Abstract: A method comprises steps of: forming successively a first conductive pattern, a first insulating layer, a second conductive pattern, and a second insulating layer on a base substrate; patterning the second insulating layer and the first insulating layer with a double-tone mask, forming at least a half lap joint via hole in the second insulating layer, and forming at least a full lap joint via hole in both the first insulating layer and the second insulating layer, wherein the second conductive pattern corresponds to a part of the half lap joint via hole, and the first conductive pattern corresponds to the whole of the full lap joint via hole; forming a third conductive pattern and a fourth conductive pattern on the base substrate wherein the third conductivity pattern is formed on the surface of the second conductivity pattern and the first insulating layer through the half lap joint via hole, and the fourth conductive pattern is formed on the surface of the first conductive pattern through the full lap joint
    Type: Application
    Filed: September 22, 2010
    Publication date: March 31, 2011
    Applicant: BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD.
    Inventors: Weifeng ZHOU, Jian GUO, Xing MING
  • Publication number: 20110073943
    Abstract: A semiconductor package may comprise a semiconductor substrate, a MOSFET device having a plurality cells formed on the substrate, and a source region common to all cells disposed on a bottom of the substrate. Each cell comprises a drain region on a top of the semiconductor device, a gate to control a flow of electrical current between the source and drain regions, a source contact proximate the gate; and an electrical connection between the source contact and source region. At least one drain connection is electrically coupled to the drain region. Source, drain and gate pads are electrically connected to the source region, drain region and gates of the devices. The drain, source and gate pads are formed on one surface of the semiconductor package. The cells are distributed across the substrate, whereby the electrical connections between the source contact of each device and the source region are distributed across the substrate.
    Type: Application
    Filed: December 10, 2010
    Publication date: March 31, 2011
    Applicant: Alpha and Omega Semiconductor Incorporated
    Inventor: Francois Hebert
  • Publication number: 20110068414
    Abstract: Disclosed is an integrated circuit device having stacked fin-type field effect transistors (FINFETs) with integrated voltage equalization and a method. A multi-layer fin includes a semiconductor layer, an insulator layer above the semiconductor layer and a high resistance conductor layer above the insulator layer. For each FINFET, a gate is positioned on the sidewalls and top surface of the fin and source/drain regions are within the semiconductor layer on both sides of the gate. Thus, the portion of the semiconductor layer between any two gates contains a source/drain region of one FINFET abutting a source/drain region of another. Conductive straps are positioned on opposing ends of the fin and also between adjacent gates in order to electrically connect the semiconductor layer to the conductor layer. Contacts electrically connect the conductive straps at the opposing ends of the fin to positive and negative supply voltages, respectively.
    Type: Application
    Filed: September 21, 2009
    Publication date: March 24, 2011
    Applicant: International Business Machines Corporation
    Inventors: Brent A. Anderson, Andres Bryant, Edward J. Nowak
  • Publication number: 20110057268
    Abstract: A semiconductor device includes a resistive element and a MISFET. The resistive element includes a first conductive film formed on the semiconductor substrate and containing a metal, a second conductive film formed on the first conductive film and containing silicon, and an insulating film formed between the first conductive film and the second conductive film.
    Type: Application
    Filed: August 10, 2010
    Publication date: March 10, 2011
    Inventor: Tsuyoshi MAKITA
  • Publication number: 20110049638
    Abstract: An embodiment of a structure for a high voltage device of the type which comprises at least a semiconductor substrate being covered by an epitaxial layer of a first type of conductivity, wherein a plurality of column structures are realized, which column structures comprises high aspect ratio deep trenches, said epitaxial layer being in turn covered by an active surface area wherein said high voltage device is realized, each of the column structures comprising at least an external portion being in turn realized by a silicon epitaxial layer of a second type of conductivity, opposed than said first type of conductivity and having a dopant charge which counterbalances the dopant charge being in said epitaxial layer outside said column structures, as well as a dielectric filling portion which is realized inside said external portion in order to completely fill said deep trench.
    Type: Application
    Filed: August 25, 2010
    Publication date: March 3, 2011
    Applicant: STMICROELECTRONICS S.R.L.
    Inventors: Mario Giuseppe SAGGIO, Domenico MURABITO, Angelo MAGRI'
  • Publication number: 20110042756
    Abstract: A semiconductor device having an MOSFET serving as an element to be protected, and an electrostatic protection MOSFET element mounted on the same substrate is produced with the small number of steps while implementing a high protection ability. Low concentration regions and gate electrodes are formed and then an insulation film is formed on a whole surface. Then, etching is performed using a resist pattern as a mask to leave the insulation film in a region from a part of the gate electrode to a part of the low concentration region in each of regions A1 and A3, and on a side wall of the gate electrode in a region A2. Then, a high concentration ion implantation is performed using the gate electrodes and the insulation films as masks, and then a silicide layer is formed.
    Type: Application
    Filed: August 10, 2010
    Publication date: February 24, 2011
    Inventor: Satoshi Hikida
  • Publication number: 20110042749
    Abstract: A first transistor includes a first gate insulating film, a first gate electrode, and a first sidewall. A second transistor includes a second gate insulating film, a second gate electrode, and a second sidewall. A capacitive element is connected to one side of source and drain regions of the second transistor. The first gate insulating film has the same thickness as that of the second gate insulating film, and the first gate electrode has the same thickness of that of the second gate electrode. The width of the second sidewall is larger than the width of the first sidewall.
    Type: Application
    Filed: July 22, 2010
    Publication date: February 24, 2011
    Applicant: Renesas Electronics Corporation
    Inventors: Toru Kawasaki, Satoshi Kura, Mitsuo Nissa, Naotaka Kamishita
  • Publication number: 20110043141
    Abstract: Provided are a MIT device self-heating preventive-circuit that can solve a self-heating problem of a MIT device and a method of manufacturing a MIT device self-heating preventive-circuit integrated device. The MIT device self-heating preventive-circuit includes a MIT device that generates an abrupt MIT at a temperature equal to or greater than a critical temperature and is connected to a current driving device to control the flow of current in the current driving device, a transistor that is connected to the MIT device to control the self-heating of the MIT device after generating the MIT in the MIT device, and a resistor connected to the MIT device and the transistor.
    Type: Application
    Filed: February 23, 2009
    Publication date: February 24, 2011
    Applicant: ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE
    Inventors: Hyun-Tak Kim, Bong-Jun Kim, Sun-Jin Yun, Dae-Yong Kim
  • Publication number: 20110037128
    Abstract: Method of forming a semiconductor device which includes the steps of obtaining a semiconductor substrate having a logic region and an STI region; sequentially depositing layers of high K material, metal gate, first silicon and hardmask; removing the hardmask and first silicon layers from the logic region; applying a second layer of silicon on the semiconductor substrate such that the logic region has layers of high K material, metal gate and second silicon and the STI region has layers of high K material, metal gate, first silicon, hardmask and second silicon. There may also be a second hardmask layer between the metal gate layer and the first silicon layer in the STI region. There may also be a hardmask layer between the metal gate layer and the first silicon layer in the STI region but no hardmask layer between the first and second layers of silicon in the STI region.
    Type: Application
    Filed: August 15, 2009
    Publication date: February 17, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Satya N. Chakravarti, Dechao Guo, Wilfried Ernst-August Haensch, Pranita Kulkarni, Fei Liu, Philip J. Oldiges, Keith Kwong Hon Wong
  • Publication number: 20110037113
    Abstract: A semiconductor structure including a substrate, at least one power MOSFET, a floating diode or a body diode, and at least one Schottky diode is provided. The substrate has a first area, a second area and a third area. The second area is between the first area and the third area. The at least one power MOSFET is in the first area. The floating diode or the body diode is in the second area. The at least one Schottky diode is in the third area. Further, the contact plugs of the power MOSFET and the Schottky diode include tungsten and are electronically connected to each other.
    Type: Application
    Filed: August 17, 2009
    Publication date: February 17, 2011
    Applicant: EXCELLIANCE MOS CORPORATION
    Inventor: Chu-Kuang Liu
  • Publication number: 20110034017
    Abstract: A memory cell of an SRAM has two drive MISFETs and two vertical MISFETs. The p channel vertical MISFETs are formed above the n channel drive MISFETs. The vertical MISFETs respectively mainly include a laminate formed of a lower semiconductor layer, intermediate semiconductor layer and upper semiconductor layer laminated in this sequence, a gate insulating film of silicon oxide formed on the surface of the side wall of the laminate, and a gate electrode formed so as to cover the side wall of the laminate. The vertical MISFETs are perfect depletion type MISFETs.
    Type: Application
    Filed: October 22, 2010
    Publication date: February 10, 2011
    Inventors: Masahiro MONIWA, Hiraku Chakihara, Kousuke Okuyama, Yasuhiko Takahashi