Mis Technology (epo) Patents (Class 257/E21.616)

  • Publication number: 20110024811
    Abstract: A method for forming a semiconductor device is disclosed. The method for forming the semiconductor device includes forming a pad insulating layer on a semiconductor substrate, forming a recess by etching the pad insulating layer and the semiconductor substrate, forming a buried gate buried in the recess, forming an insulating layer for defining a bit line contact hole over the buried gate and the pad insulating layer, forming a bit line over a bit line contact for filling the bit line contact hole, and forming a storage electrode contact hole by etching the insulating layer and the pad insulating layer to expose the semiconductor substrate. As a result, the method increases the size of an overlap area between a storage electrode contact and an active region without an additional mask process, resulting in a reduction in cell resistance.
    Type: Application
    Filed: December 30, 2009
    Publication date: February 3, 2011
    Applicant: Hynix Semiconductor Inc.
    Inventor: Sung Hyun KIM
  • Publication number: 20110018068
    Abstract: An integrated device includes: a semiconductor body having a first, depressed, portion and second portions which project from the first portion; a STI structure, extending on the first portion of the semiconductor body, which delimits laterally the second portions and has a face adjacent to a surface of the first portion; low-voltage CMOS components, housed in the second portions, in a first region of the semiconductor body; and a power component, in a second region of the semiconductor body. The power component has at least one conduction region, formed in the first portion of the semiconductor body, and a conduction contact, connected to the conduction region and traversing the STI structure in a direction perpendicular to the surface of the first portion of the semiconductor body.
    Type: Application
    Filed: July 20, 2010
    Publication date: January 27, 2011
    Applicant: STMICROELECTRONICS S.R.L
    Inventors: Riccardo DEPETRO, Stefano MANZINI
  • Publication number: 20110020993
    Abstract: Provided are a semiconductor device and a method of fabricating the same. The semiconductor device includes a first interconnection disposed on a substrate. The interconnection includes a first silicon interconnection region and a first metal interconnection region sequentially stacked on the substrate. A second interconnection includes a second silicon interconnection region and a second metal interconnection region that are stacked sequentially. The second silicon interconnection region has a lower resistivity than the first silicon interconnection region.
    Type: Application
    Filed: June 30, 2010
    Publication date: January 27, 2011
    Inventors: Jong-Man Park, Santoru Yamada
  • Publication number: 20110014737
    Abstract: A thin film transistor array and method of manufacturing the same include a pixel electrode formed of a transparent conductive layer on a substrate, a gate line formed of the transparent conductive layer and an opaque conductive layer on the substrate, a gate electrode connected to the gate line and formed of the transparent conductive layer and an opaque conductive layer on the substrate, a gate insulating layer which covers the gate line and the gate electrode, a semiconductor layer formed on the gate insulating layer to overlap the gate electrode, a data line which intersects the gate line, a source electrode connected to the data line to overlap a part of the semiconductor layer, and a drain electrode connected to the pixel electrode to overlap a part of the semiconductor layer.
    Type: Application
    Filed: September 24, 2010
    Publication date: January 20, 2011
    Inventors: Jong-Hyun CHOUNG, Hong-Sick Park, Joo-Ae Youn, Bong-Kyun Kim, Won-Suk Shin, Byeong-Jin Lee
  • Publication number: 20110012123
    Abstract: A thin film transistor substrate and fabricating method thereof by which the size of the thin film transistor substrate is reduced by constructing data signal supply lines, each of which supplies a pixel data voltage to a data line, with different metal lines, respectively includes gate and data lines crossing each other on a substrate, with a gate insulating layer disposed therebetween, a thin film transistor formed on each intersection between the gate and data lines, a display area on which a pixel electrode connected to the thin film transistor is formed, a first data signal supply line comprising a first conductive layer connected to the data line in a non-display area located at a periphery of the display area, and a second data signal supply line alternating with the first data signal supply line, with the gate insulating layer disposed therebetween, the second data signal supply line comprising a second conductive layer connected to the data line.
    Type: Application
    Filed: September 24, 2010
    Publication date: January 20, 2011
    Inventors: Seok-Je Seong, Ki-Hun Jeong, Jin-Young Choi
  • Publication number: 20110014754
    Abstract: A semiconductor device according to example embodiments may have a plurality of stacked transistors. The semiconductor device may have a lower insulating layer formed on a semiconductor substrate and an upper channel body pattern formed on the lower insulating layer. A source region and a drain region may be formed within the upper channel body pattern, and a non-metal transfer gate electrode may be disposed on the upper channel body pattern between the source and drain regions. The non-metal transfer gate electrode, the upper channel body pattern, and the lower insulating layer may be covered by an intermediate insulating layer. A metal word line may be disposed within the intermediate insulating layer to contact at least an upper surface of the non-metal transfer gate electrode. An insulating spacer may be disposed on a sidewall of the metal word line.
    Type: Application
    Filed: September 23, 2010
    Publication date: January 20, 2011
    Inventors: Han-Byung Park, Soon-Moon Jung, Hoon Lim, Cha-Dong Yeo, Byoung-Keun Son, Jae-Joo Shim, Chang-Min Hong
  • Publication number: 20110012124
    Abstract: The invention provides a method for forming thin film transistors including a polycrystalline semiconducting film. The method comprises depositing a first layer of amorphous semiconducting thin film on to a substrate; depositing a second layer of thin film on to the first layer of amorphous semiconducting thin film; patterning the second layer of thin film so that the first layer of amorphous semiconducting thin film is exposed at selected locations; exposing the first and second layers of thin film to a nickel containing compound in either a solution or a vapor phase ; removing the second layer of thin film; and annealing the first layer of amorphous semiconducting thin film at an elevated temperature so the first layer of amorphous semiconducting thin film converts into a polycrystalline semiconducting thin film.
    Type: Application
    Filed: July 22, 2010
    Publication date: January 20, 2011
    Inventors: Hoi Sing Kwok, Man Wong, Zhiguo Meng, Shuyun Zhao, Chunya Wu
  • Publication number: 20110007596
    Abstract: A method of forming an integrated circuit structure includes providing a chip; forming a static random access memory (SRAM) cell including a transistor on the chip; and forming a bias transistor configured to gate a power supply voltage provided to the SRAM cell on the chip. The bias transistor and the transistor of the SRAM cell are formed simultaneously.
    Type: Application
    Filed: May 6, 2010
    Publication date: January 13, 2011
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Cheng Hung Lee, Hsu-Shun Chen, Wei Min Chan, Shao-Yu Chou
  • Patent number: 7867858
    Abstract: A method includes forming a first transistor having a first gate dielectric thickness and a first source/drain extension depth, a second transistor having a second gate dielectric thickness and the first source/drain extension depth, and a third transistor having the second gate dielectric thickness and a second source/drain extension depth. The second source/drain extension depth is greater than the first source/drain extension depth. The second gate dielectric thickness is greater than the first gate dielectric thickness. The first transistor is used in a logic circuit. The third transistor is used in an I/O circuit. The second transistor is made without extra processing steps and is better than either the first or third transistor for coupling a power supply terminal to the logic circuit in a power-up mode and decoupling the power supply terminal from the logic circuit in a power-down mode.
    Type: Grant
    Filed: March 31, 2008
    Date of Patent: January 11, 2011
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Giri Nallapati, Sushama Davar, Robert E. Booth, Michael P. Woo, Mahbub M. Rashed
  • Patent number: 7863680
    Abstract: A semiconductor component includes a surface region. A modified doping region is provided in the edge region of the cell array. In the surface region or modified doping region the doping concentration is lowered and/or in the surface region or modified doping region the conductivity type is formed such that it is opposite to the conductivity type of the actual semiconductor material region, or in which a field plate region is provided.
    Type: Grant
    Filed: July 3, 2008
    Date of Patent: January 4, 2011
    Assignee: Infineon Technologies AG
    Inventors: Markus Zundel, Rudolf Zelsacher, Franz Hirler, Dietmar Kotz, Hermann Peri, Armin Willmeroth
  • Publication number: 20100327363
    Abstract: Sidewalls are formed on side surfaces of fin-shaped active regions, and then substrate regions surrounded by a device isolation groove are formed, where the widths of each substrate region in a channel length direction and in a channel width direction are respectively larger than those of the active region. Next, the sidewalls are removed, the device isolation groove and regions between the active regions are filled with an insulator film, and the insulator film is etched such that upper surfaces of the substrate regions are exposed. Next, an impurity is implanted in an upper portion of the substrate regions to form a punch through stopper diffusion layer, thereby forming fin transistors.
    Type: Application
    Filed: September 2, 2010
    Publication date: December 30, 2010
    Applicant: Panasonic Corporation
    Inventor: Takashi NAKABAYASHI
  • Publication number: 20100327335
    Abstract: Electrical device structures constructed in an isolated p-well that is wholly contained within a core n-well. Methods of forming electrical devices within an isolated p-well that is wholly contained within a core n-well using a baseline CMOS process flow.
    Type: Application
    Filed: June 28, 2010
    Publication date: December 30, 2010
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Kamel BENAISSA, Greg C. BALDWIN
  • Publication number: 20100327370
    Abstract: The present invention discloses a method comprising: forming a sacrificial polysilicon gate (of a transistor) and a polysilicon resistor; and replacing said sacrificial polysilicon gate (of said transistor) with a metal gate while covering said polysilicon resistor.
    Type: Application
    Filed: June 26, 2009
    Publication date: December 30, 2010
    Inventors: Chia-Hong Jan, Jeng-Ya Yeh
  • Publication number: 20100327348
    Abstract: In a lateral-type power MOSFET, high breakdown voltage is achieved with suppressing to increase a cell pitch, and a feedback capacity and an ON resistance are decreased. An n? type silicon region having a high resistance to be a region of maintaining a breakdown voltage is vertically provided with respect to a main surface of an n+ type silicon substrate, and the n? type silicon region having the high resistance is connected to the n+ type silicon substrate. Also, a conductive substance is filled through an insulating substance inside a trench formed to reach the n+ type silicon substrate from the main surface of the n+ type silicon substrate so as to contact with the n? type silicon region having the high resistance, and the conductive substance is electrically connected to a source electrode.
    Type: Application
    Filed: June 18, 2010
    Publication date: December 30, 2010
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventors: Takayuki HASHIMOTO, Takashi HIRAO, Noboru AKIYAMA
  • Publication number: 20100330757
    Abstract: When forming transistor elements on the basis of sophisticated high-k metal gate structures, the efficiency of a replacement gate approach may be enhanced by more efficiently adjusting the gate height of transistors of different conductivity type when the dielectric cap layers of transistors may have experienced a different process history and may thus require a subsequent adaptation of the final cap layer thickness in one type of the transistors. For this purpose, a hard mask material may be used during a process sequence for forming offset spacer elements in one gate electrode structure while covering another gate electrode structure.
    Type: Application
    Filed: June 23, 2010
    Publication date: December 30, 2010
    Inventors: Markus Lenski, Kerstin Ruttloff, Martin Mazur, Frank Seliger, Ralf Otterbach
  • Publication number: 20100320471
    Abstract: A thin-film transistor array includes an electrically insulating substrate, a plurality of thin-film transistors arranged in a matrix on the substrate, and each including a channel, a source, and a drain each comprised of an oxide-semiconductor film, a pixel electrode integrally formed with the drain, a source signal line through which a source signal is transmitted to a group of thin-film transistors, a gate signal line through which a gate signal is transmitted to a group of thin-film transistors, a source terminal formed at an end of the source signal line, and a gate terminal formed at an end of the gate signal line. The source terminal and the gate terminal are formed in the same layer as a layer in which the channel is formed. The source terminal and the gate terminal have the same electric conductivity as that of the pixel electrode.
    Type: Application
    Filed: August 25, 2010
    Publication date: December 23, 2010
    Applicants: NEC CORPORATION, NEC LCD TECHNOLOGIES, LTD.
    Inventors: Kazushige Takechi, Mitsuru Nakata
  • Publication number: 20100320509
    Abstract: According to one exemplary embodiment, a method for forming at least one metal gate transistor with a self-aligned source/drain contact includes forming a metal gate over a substrate. The method further includes forming a source/drain region in the substrate adjacent to the metal gate. The method also includes forming a conformal etch stop layer over the metal gate and the source/drain region. The method further includes forming a source/drain contact over the source/drain region, where the conformal etch stop layer imposes a pre-determined distance between the source/drain contact and the metal gate, thereby causing the source/drain contact to be self-aligned to the metal gate.
    Type: Application
    Filed: June 17, 2009
    Publication date: December 23, 2010
    Inventors: Andreas H. Knorr, Frank Scott Johnson
  • Publication number: 20100320461
    Abstract: A semiconductor device includes a main field effect transistor (FET) and one or more sense FETs. A transistor portion of the sense FET is surrounded by transistors of the main FET. An electrical isolation structure that surrounds the main FET is configured to electrically isolate source and body regions of the main FET from source and body regions of the sense FET. A sense FET source pad is located at an edge of the main FET and spaced apart from the transistor portion of the sense FET. The sense FET source pad is connected to the transistor portion of the sense FET by a sense FET probe metal. The isolation structure is configured such that the transistor portion of the sense FET and the sense FET source pad are located outside an active area of the main FET.
    Type: Application
    Filed: August 27, 2010
    Publication date: December 23, 2010
    Applicant: ALPHA AND OMEGA SEMICONDUCTOR INCORPORATED
    Inventors: Yi Su, Anup Bhalla
  • Publication number: 20100323484
    Abstract: A method of manufacturing a semiconductor device may include, but is not limited to the following processes. First and second gate electrodes are formed over a semiconductor substrate. An epitaxial layer is selectively formed over the semiconductor substrate. The epitaxial layer is adjacent to the first gate electrode. A first impurity is introduced into the semiconductor substrate through the epitaxial layer to form a first impurity region and directly into the semiconductor substrate to form a second impurity region. The first and second impurity regions are adjacent to the first and second gate electrodes, respectively. The first impurity region includes the epitaxial layer. A first bottom surface of the first impurity region is shallower in level than a second bottom surface of the second impurity region.
    Type: Application
    Filed: June 8, 2010
    Publication date: December 23, 2010
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Yoichi FUKUSHIMA
  • Publication number: 20100308397
    Abstract: A method for manufacturing a semiconductor device includes forming an insulating film on a semiconductor region of a semiconductor substrate on which a MOS transistor is to be formed and patterning the insulating film; implanting an impurity into the semiconductor region through the patterned insulating film using a step of implanting an impurity into a source/drain region of the MOS transistor, to form, below the insulating film, a resistive layer of a resistance element to be formed in the semiconductor region; and siliciding a surface of the source/drain region of the MOS transistor using the insulating film as a silicidation-preventing film of the resistive layer.
    Type: Application
    Filed: June 2, 2010
    Publication date: December 9, 2010
    Applicant: Fujitsu Semiconductor Limited
    Inventor: Junichi Ariyoshi
  • Publication number: 20100308409
    Abstract: FinFET structures with fins having stress-inducing caps and methods for fabricating such FinFET structures are provided. In an exemplary embodiment, a method for forming stressed structures comprises forming a first stress-inducing material overlying a semiconductor material and forming spacers overlying the first stress-inducing material. The first stress-inducing material is etched using the spacers as an etch mask to form a plurality of first stress-inducing caps. The semiconductor material is etched using the plurality of first stress-inducing caps as an etch mask.
    Type: Application
    Filed: June 8, 2009
    Publication date: December 9, 2010
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Frank S. Johnson, Scott Luning, Michael J. Hargrove
  • Publication number: 20100304525
    Abstract: A fabricating method of a TFT array substrate includes following steps: providing a substrate having a pixel region and a bonding pad region surrounding the pixel region; forming a patterned polysilicon layer within the pixel region on the substrate; forming a first patterned insulating layer to cover the patterned polysilicon layer; forming a first patterned transparent conductive layer on the first patterned insulating layer; forming a first metal layer on the first patterned transparent conductive layer; forming a second patterned insulating layer to cover the first metal layer; forming a second patterned transparent conductive layer on the second patterned insulating layer; forming a second metal layer on the second patterned transparent conductive layer; forming a third patterned insulating layer to cover the second metal layer; and forming a third patterned transparent conductive layer on the third patterned insulating layer.
    Type: Application
    Filed: August 12, 2010
    Publication date: December 2, 2010
    Applicant: CHUNGHWA PICTURE TUBES, LTD.
    Inventors: Yao-Hong Chien, Chih-Chieh Wang, Xuan-Yu Liu, Li-Shan Chen
  • Publication number: 20100301419
    Abstract: Disclosed are embodiments of an improved integrated circuit device structure (e.g., a static random access memory array structure or other integrated circuit device structure incorporating both P-type and N-type devices) and a method of forming the structure that uses DTI regions for all inter-well and intra-well isolation and, thereby provides a low-cost isolation scheme that avoids FET width variations due to STI-DTI misalignment. Furthermore, because the DTI regions used for intra-well isolation effectively create some floating well sections, which must each be connected to a supply voltage (e.g., Vdd) to prevent threshold voltage (Vt) variations, the disclosed integrated circuit device also includes a shared contact to a junction between the diffusion regions of adjacent devices and an underlying floating well section. This shared contact eliminates the cost and area penalties that would be incurred if a discrete supply voltage contact was required for each floating well section.
    Type: Application
    Filed: May 28, 2009
    Publication date: December 2, 2010
    Applicant: International Business Machines Corporation
    Inventors: Brent A. Anderson, Andres Bryant, Edward J. Nowak
  • Publication number: 20100301345
    Abstract: An array substrate and method for manufacturing the same is provided, wherein a data line is composed of first and second segments connected by a contact pad. First and second insulation layers are disposed between the first segment of the data line and a shielding electrode. In addition, the first insulation layer is disposed between the second segment of the data line and a gate line in their overlapping area. Accordingly, the coupling effect between the conductive layers can be reduced. For example, the RC delay problem due to parasitic capacitance between the shielding electrode and the data line is solved. As a result of the design of the two insulator layers between the first segment of the data line and the shielding electrode, the shorting between the conductive layers can also be simultaneously solved and the product yield can be increased.
    Type: Application
    Filed: November 23, 2009
    Publication date: December 2, 2010
    Applicant: AU OPTRONICS CORP.
    Inventors: Hsiang-Lin Lin, Ching-Huan Lin, Chih-Hung Shih, Wei-Ming Huang
  • Publication number: 20100297815
    Abstract: A symmetrical circuit is disclosed (FIG. 4). The circuit includes a first transistor (220) having a first channel in a substantial shape of a parallelogram (FIG. 5A) with acute angles. The first transistor has a first current path (506) oriented in a first crystal direction (520). A first control gate (362) overlies the first channel. A second transistor (222) is connected to the first transistor and has a second channel in the substantial shape of a parallelogram with acute angles. The second transistor has a second current path (502) oriented parallel to the first current path. A second control gate (360) overlies the second channel.
    Type: Application
    Filed: August 5, 2010
    Publication date: November 25, 2010
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Ashesh Parikh, Anand Seshadri
  • Publication number: 20100295132
    Abstract: Structure and method for providing a programmable anti-fuse in a FET structure. A method of forming the programmable anti-fuse includes: providing a p? substrate with an n+ gate stack; implanting an n+ source region and an n+ drain region in the p? substrate; forming a resist mask over the n+ drain region, while leaving the n+ source region exposed; etching the n+ source region to form a recess in the n+ source region; and growing a p+ epitaxial silicon germanium layer in the recess in the n+ source region to form a pn junction that acts as a programmable diode or anti-fuse.
    Type: Application
    Filed: February 2, 2010
    Publication date: November 25, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Ping-Chuan Wang, Robert C. Wong, Haining S. Yang
  • Publication number: 20100289079
    Abstract: Structures and methods for integrating a thick oxide high-voltage metal-oxide-semiconductor (MOS) device into a thin oxide silicon-on-insulator (SOI). A method of forming a semiconductor structure includes forming first source and drain regions of a first device below a buried oxide layer of a silicon-on-insulator (SOI) wafer, forming a gate of the first device in a layer of semiconductor material above the buried oxide layer; and forming second source and drain regions of a second device in the layer of semiconductor material above the buried oxide layer.
    Type: Application
    Filed: May 14, 2009
    Publication date: November 18, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kiran V. Chatty, Robert J. Gauthier, JR., Jed H. Rankin, Yun Shi, William R. Tonti, Wagdi W. Abadeer, Lilian Kamal
  • Publication number: 20100289080
    Abstract: In a semiconductor device comprising sophisticated high-k metal gate structures formed in accordance with a replacement gate approach, semiconductor-based resistors may be formed above isolation structures substantially without being influenced by the replacement gate approach. Consequently, enhanced area efficiency may be achieved compared to conventional strategies, in which the resistive structures may have to be provided on the basis of a gate electrode metal, while, nevertheless, a low parasitic capacitance may be accomplished due to providing the resistive structures above the isolation structure.
    Type: Application
    Filed: April 14, 2010
    Publication date: November 18, 2010
    Inventors: Andy WEI, Andrew WAITE
  • Publication number: 20100289075
    Abstract: A semiconductor device having integrated MOSFET and Schottky diode includes a substrate having a MOSFET region and a Schottky diode region defined thereon; a plurality of first trenches formed in the MOSFET region; and a plurality of second trenches formed in the Schottky diode region. The first trenches respectively including a first insulating layer formed over the sidewalls and bottom of the first trench and a first conductive layer filling the first trench serve as a trenched gate of the trench MOSFET. The second trenches respectively include a second insulating layer formed over the sidewalls and bottom of the second trench and a second conductive layer filling the second trench. A depth and a width of the second trenches are larger than that of the first trenches; and a thickness of the second insulating layer is larger than that of the first insulating layer.
    Type: Application
    Filed: August 6, 2009
    Publication date: November 18, 2010
    Inventors: Wei-Chieh Lin, Li-Cheng Lin, Hsin-Yu Hsu, Ho-Tai Chen, Jen-Hao Yeh, Guo-Liang Yang, Chia-Hui Chen, Shih-Chieh Hung
  • Publication number: 20100289087
    Abstract: A semiconductor substrate with an active element formed in the semiconductor substrate, an element isolating insulating film formed around the active element and semiconductor substrate, a polysilicon resistance element formed over the element isolating insulating film with terminal areas and a resistance portion formed between the terminal areas, the polysilicon resistance element having plural reticulations which have the same shapes and the same size.
    Type: Application
    Filed: May 13, 2010
    Publication date: November 18, 2010
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Jun Hasegawa
  • Publication number: 20100285641
    Abstract: A mask read-only memory (ROM) device, which can stably output data, includes an on-cell and an off-cell. The on-cell includes an on-cell gate structure on a substrate and an on-cell junction structure within the substrate. The off-cell includes an off-cell gate structure on the substrate and an off-cell junction structure within the substrate. The on-cell gate structure includes an on-cell gate insulating film, an on-cell gate electrode and an on-cell gate spacer. The on-cell junction structure includes first and second on-cell ion implantation regions of a first polarity and third and fourth on-cell ion implantation regions of a second polarity. The off-cell gate structure includes an off-cell gate insulating film, an off-cell gate electrode and an off-cell gate spacer. The off-cell junction structure includes first and second off-cell ion implantation regions of the first polarity and a third off-cell ion implantation region of the second polarity.
    Type: Application
    Filed: July 14, 2010
    Publication date: November 11, 2010
    Inventors: Yong-Kyu Lee, Jeong-Uk Han, Hee-Seog Jeon, Young-Ho Kim, Myung-Jo Chun, Jung-Ho Moon
  • Publication number: 20100276757
    Abstract: Embodiments of the invention relate to a method of fabricating logic transistors using replacement metal gate (RMG) logic flow with modified process to form recessed channel array transistors (RCAT) on a common semiconductor substrate. An embodiment comprises forming an interlayer dielectric (ILD) layer on a semiconductor substrate, forming a first recess in the ILD layer of a first substrate region, forming a recessed channel in the ILD layer and in the substrate of a second substrate region, depositing a first conformal high-k dielectric layer in the first recess and a second conformal high-k dielectric layer in the recessed channel, and filling the first recess with a first gate metal and the recessed channel with a second gate metal.
    Type: Application
    Filed: May 4, 2009
    Publication date: November 4, 2010
    Inventors: Brian S. Doyle, Gilbert Dewey, Ravi Pillarisetty, Nick Lindert, Uday Shah, Dinesh Somasekhar
  • Publication number: 20100267212
    Abstract: Semiconductor devices can be fabricated using conventional designs and process but including specialized structures to reduce or eliminate detrimental effects caused by various forms of radiation. Such semiconductor devices can include one or more parasitic isolation devices and/or buried layer structures disclosed in the present application. The introduction of design and/or process steps to accommodate these novel structures is compatible with conventional CMOS fabrication processes, and can therefore be accomplished at relatively low cost and with relative simplicity.
    Type: Application
    Filed: November 30, 2009
    Publication date: October 21, 2010
    Inventor: Wesley H. Morris
  • Publication number: 20100267215
    Abstract: In a semiconductor device according to embodiments of the invention, a capacitor includes a storage electrode having a cylindrical storage conductive layer pattern and connecting members formed on the upper portion of the cylindrical storage conductive layer pattern. The connecting member connects to an adjacent connecting member of another storage electrode. A dielectric layer and a plate electrode are successively formed on the storage electrode. All of the capacitors are connected by one another by forming cylindrical storage electrodes so that the storage electrode does not fall down when the capacitors have an extremely large aspect ratio. Thus, the capacitance of the capacitors may be improved to the desired level. A semiconductor device that includes these capacitors may have improved reliability and the throughput of a semiconductor manufacturing process may be increased.
    Type: Application
    Filed: April 26, 2010
    Publication date: October 21, 2010
    Inventor: Je-Min Park
  • Publication number: 20100261322
    Abstract: A gate line includes a first seed layer formed on a base substrate and a first metal layer formed on the first seed layer. A first insulation layer is formed on the base substrate. A second insulation layer is formed on the base substrate. Here, a line trench is formed through the second insulation layer in a direction crossing the gate line. A data line includes a second seed layer formed below the line trench and a second metal layer formed in the line trench. A pixel electrode is formed in a pixel area of the base substrate. Therefore, a trench of a predetermined depth is formed using an insulation layer and a metal layer is formed through a plating method, so that a metal line having a sufficient thickness may be formed.
    Type: Application
    Filed: June 9, 2010
    Publication date: October 14, 2010
    Inventors: Jang-Soo Kim, Hong-Long Ning, Bong-Kyun Kim, Hong-Sick Park, Shi-Yul Kim, Chang-Oh Jeong, Sang-Gab Kim, Jae-Hyoung Youn, Woo-Geun Lee, Yang-Ho Bae, Pil-Sang Yun, Jong-Hyun Choung, Sun-Young Hong, Ki-Won Kim, Byeong-Jin Lee, Young-Wook Lee, Jong-In Kim, Byeong-Beom Kim, Nam-Seok Suh
  • Publication number: 20100244109
    Abstract: A fabrication method of a trenched metal-oxide-semiconductor device is provided. After the formation of the gate dielectric layer, a first poly-silicon layer is deposited along the profile of the gate trench. Then, impurities of first conductivity type are implanted to the first poly-silicon layer at the bottom of the gate trench. Then, a second poly-silicon layer with second conductivity type is deposited over the first poly-silicon layer. The impurities in the first poly-silicon layer and the second poly-silicon layer are then driven by an annealing step to form a first doping region with first conductivity type located at the bottom of the gate trench and a second doping region with second conductivity type.
    Type: Application
    Filed: March 30, 2009
    Publication date: September 30, 2010
    Applicant: NIKO SEMICONDUCTOR CO., LTD.
    Inventor: Hsiu Wen HSU
  • Publication number: 20100244111
    Abstract: A semiconductor structure of a display device and the method for fabricating the same are provided. The semiconductor structure is formed on a substrate having a TFT region and a pixel capacitor region thereon. A TFT, including a gate electrode, a source electrode, a drain electrode, a channel layer, and a gate insulating layer, is formed on the TFT region of the substrate. A pixel capacitor is formed on the pixel capacitor region, wherein the pixel capacitor comprises a bottom electrode formed on a bottom dielectric layer, an interlayer dielectric layer formed on the bottom electrode, a top electrode formed on the interlayer dielectric layer, a contact plug passing through the interlayer dielectric layer and electrically connected to the top and bottom electrodes, a capacitor dielectric layer formed on the top electrode, a transparent electrode formed on the capacitor dielectric layer and electrically connected to the drain electrode.
    Type: Application
    Filed: June 15, 2010
    Publication date: September 30, 2010
    Applicant: AU OPTRONICS CORP.
    Inventor: Yu-Cheng Chen
  • Publication number: 20100244132
    Abstract: A method of normalizing strain in semiconductor devices and normalized strain semiconductor devices. The method includes: forming first and second field effect transistors of an integrated circuit; forming a stress layer over the first and second field effect transistors, the stress layer inducing strain in channel regions of the first and second field effect transistors; and selectively thinning the stress layer over at least a portion of the second field effect transistor.
    Type: Application
    Filed: November 20, 2009
    Publication date: September 30, 2010
    Applicant: International Business Machines Corporation
    Inventors: Bruce Balch, Kerry Bernstein, John Joseph Ellis-Monaghan, Nazmul Habib
  • Publication number: 20100233860
    Abstract: A semiconductor device including an n-channel MISFET including source/drain regions 38 formed in a semiconductor substrate 10 with a channel region between them, and a gate electrode 44 of a metal silicide formed over the channel region with a gate insulating film 12 interposed therebetween; and an insulating film 46 formed over the gate electrode 44 from side walls of the gate electrode 44 to an upper surface of the gate electrode 44, having a tensile stress from 1.0 to 2.0 GPa and applying the tensile stress to the channel region.
    Type: Application
    Filed: May 21, 2010
    Publication date: September 16, 2010
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventor: Hiroyuki OHTA
  • Publication number: 20100230733
    Abstract: According to one embodiment of the present invention, a method of forming an apparatus comprises forming a plurality of deep trenches and a plurality of shallow trenches in a first region of a substrate. At least one of the shallow trenches is positioned between two deep trenches. The plurality of shallow trenches and the plurality of deep trenches are parallel to each other. The method further comprises depositing a layer of conductive material over the first region and a second region of the substrate. The method further comprises etching the layer of conductive material to define a plurality of lines separated by a plurality of gaps over the first region of the substrate, and a plurality of active device elements over the second region of the substrate. The method further comprises masking the second region of the substrate.
    Type: Application
    Filed: May 24, 2010
    Publication date: September 16, 2010
    Applicant: MICRON TECHNOLOGY, INC.
    Inventor: Werner Juengling
  • Publication number: 20100233862
    Abstract: An integrated low leakage Schottky diode has a Schottky barrier junction proximate one side of an MOS gate with one end of a drift region on an opposite side of the gate. Below the Schottky metal and the gate oxide is a RESURF structure of an N? layer over a P? layer which also forms the drift region that ends at the diode's cathode in one embodiment of the present invention. The N? and P? layers have an upward concave shape under the gate. The gate electrode and the Schottky metal are connected to the diode's anode. A P? layer lies between the RESURF structure and an NISO region which has an electrical connection to the anode. A P+ layer under the Schottky metal is in contact with the P? layer through a P well.
    Type: Application
    Filed: May 27, 2010
    Publication date: September 16, 2010
    Applicant: Fairchild Semiconductor Corporation
    Inventor: Jun Cai
  • Publication number: 20100227446
    Abstract: After silicon oxide film (9) is formed on the surface of a semiconductor substrate (1), the silicon oxide film (9) in a region in which a gate insulation film having a small effective thickness is formed is removed using diluted HF and after that, high dielectric constant insulation film (10) is formed on the semiconductor substrate (1). Consequently, two kinds of gate insulation films, namely, a gate insulation film (12) comprised of stacked film of high dielectric constant insulation film (10) and silicon oxide film (9) and gate insulation film (11) comprised of the high dielectric constant insulation film (10) are formed on the semiconductor substrate (1).
    Type: Application
    Filed: May 21, 2010
    Publication date: September 9, 2010
    Inventors: Satoshi SAKAI, Atsushi Hiraiwa, Satoshi Yamamoto
  • Publication number: 20100221876
    Abstract: In semiconductor devices, and methods of formation thereof, both planar-type memory devices and vertically oriented thin body devices are formed on a common semiconductor layer. In a memory device, for example, it is desirable to have planar-type transistors in a peripheral region of the device, and vertically oriented thin body transistor devices in a cell region of the device. In this manner, the advantageous characteristics of each type of device can be applied to appropriate functions of the memory device.
    Type: Application
    Filed: April 5, 2010
    Publication date: September 2, 2010
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Sung-Min Kim, Dong-Gun Park, Dong-Won Kim, Min-Sang Kim, Eun-jung Yun
  • Publication number: 20100210082
    Abstract: A method for manufacturing a semiconductor device comprises forming a dielectric film, and oxidizing the dielectric film. In oxidizing the dielectric film, an oxidized gas is supplied to the dielectric film at a heat treatment. Supplying the oxidized gas is performed intermittently a plurality of times while the dielectric film is subjected to heat treatment.
    Type: Application
    Filed: February 5, 2010
    Publication date: August 19, 2010
    Inventor: Tomoyuki Nakamura
  • Publication number: 20100197094
    Abstract: Provided are a FinFET and a method of manufacturing the same. A FinFET may include at least one active fin, at least one gate insulating layer pattern, a first electrode pattern, a second electrode pattern and at least one pair of source/drain expansion regions. The at least one active fin may be formed on a substrate. The at least one gate insulating layer pattern may be formed on the at least one active fin. The first electrode pattern may be formed on the at least one gate insulating layer pattern. Further, the first electrode pattern may be intersected with the at least one active fin. The second electrode pattern may be formed on the first electrode pattern. Further, the second electrode pattern may have a width greater than that of the first electrode pattern. The at least one pair of source/drain expansion regions may be formed on a surface of the at least one active fin on both sides of the first electrode pattern. Thus, the FinFET may have improved capacity and reduced GIDL current.
    Type: Application
    Filed: March 30, 2010
    Publication date: August 5, 2010
    Inventors: Sung-Min Kim, Min-Sang Kim, Ji-Myoung Lee, Dong-Won Kim
  • Publication number: 20100187639
    Abstract: A semiconductor device has a semiconductor substrate in which first and second wells are formed. The substrate and wells are of the same conductivity type, but the second well has a higher impurity concentration than the first well. High-voltage MOS transistors are formed in the first well, and a low-voltage MOS transistor is formed in the second well. The high-voltage MOS transistors include a first transistor having a gate oxide layer with a first thickness and a second transistor having a gate oxide layer with a second thickness less than the first thickness. The low-voltage MOS transistor has a third gate oxide layer with a third thickness less than the first thickness. The second high-voltage MOS transistor provides efficient current conduction.
    Type: Application
    Filed: January 26, 2010
    Publication date: July 29, 2010
    Applicant: OKI SEMICONDUCTOR CO., LTD.
    Inventor: Kazushige Iwamoto
  • Publication number: 20100187605
    Abstract: One aspect is monolithic semiconductor switches and method for manufacturing. One embodiment provides one semiconductor die with a first and a second FET. One of source/drain of the first FET and one of source/drain of the second FET are electrically coupled to at least one contact area at a first side of one semiconductor die, respectively. The other one of source/drain of the first FET, a gate of the first FET, the other one of source/drain of the second FET and the gate of the second FET are electrically coupled to contact areas at a second side of the one semiconductor die opposite to the first side, respectively. The contact areas of the other one of source/drain of the first FET, of the gate of the first FET, of the other one of source/drain of the second FET and of the gate of the second FET are electrically separated from each other, respectively.
    Type: Application
    Filed: January 27, 2009
    Publication date: July 29, 2010
    Applicant: INFINEON TECHNOLOGIES AUSTRIA AG
    Inventors: Oliver Haeberlen, Walter Rieger, Lutz Goergens, Martin Poelzl, Johannes Schoiswohl, Joachim Krumrey
  • Patent number: 7763516
    Abstract: A manufacturing method of semiconductor device includes: forming a nitride film above a silicon substrate including a first region and a second region which respectively correspond to an outside of a memory cell region and the memory cell region; forming trenches reaching from the nitride film to the silicon substrate; retreating the nitride film such that widths of the trenches at the nitride film become wider; forming a buried oxide film to be buried in the trenches after the retreating; polishing the buried oxide film with the nitride film being used as a stopper; removing the nitride film after the polishing; implanting impurity after the removing; forming gate electrodes after the implanting; and implanting impurity after the forming the gate electrodes.
    Type: Grant
    Filed: October 3, 2008
    Date of Patent: July 27, 2010
    Assignee: NEC Electronics Corporation
    Inventor: Toshifumi Takahashi
  • Publication number: 20100184266
    Abstract: A semiconductor integrated circuit device includes first, second gate electrodes, first, second diffusion layers, contact electrodes electrically connected to the first diffusion layers, a first insulating film which has concave portions between the first and second gate electrodes and does not contain nitrogen as a main component, a second insulating film which is formed on the first insulating film and does not contain nitrogen as a main component, and a third insulating film formed on the first diffusion layers, first gate electrodes, second diffusion layers and second gate electrodes with the second insulating film disposed therebetween in a partial region. The second insulating film is formed to fill the concave portions and a portion between the first and second gate electrodes has a multi-layered structure containing at least the first and second insulating films.
    Type: Application
    Filed: March 2, 2010
    Publication date: July 22, 2010
    Inventors: Toshitake YAEGASHI, Yoshio Ozawa
  • Publication number: 20100176460
    Abstract: A semiconductor device according to one embodiment includes: a semiconductor substrate having first and second regions; a first transistor comprising a first gate insulating film and a first gate electrode thereon in the first region on the semiconductor substrate, the first gate insulating film comprising a first interface layer containing nitrogen atoms and a first high dielectric constant layer thereon; a second transistor comprising a second gate insulating film and a second gate electrode thereon in the second region on the semiconductor substrate, the second gate insulating film comprising a second interface layer and a second high dielectric constant layer thereon, the second interface layer containing nitrogen atoms at an average concentration lower than that of the first interface layer or not containing nitrogen atoms, and the second transistor having a threshold voltage different from that of the first transistor; and an element isolation region on the semiconductor substrate, the element isolation
    Type: Application
    Filed: December 1, 2009
    Publication date: July 15, 2010
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Masakazu Goto