Using Plasma Patents (Class 216/67)
  • Patent number: 9039911
    Abstract: Methods for etching a substrate in a plasma processing chamber having at least a primary plasma generating region and a secondary plasma generating region separated from said primary plasma generating region by a semi-barrier structure. The method includes generating a primary plasma from a primary feed gas in the primary plasma generating region. The method also includes generating a secondary plasma from a secondary feed gas in the secondary plasma generating region to enable at least some species from the secondary plasma to migrate into the primary plasma generating region. The method additionally includes etching the substrate with the primary plasma after the primary plasma has been augmented with migrated species from the secondary plasma.
    Type: Grant
    Filed: September 25, 2012
    Date of Patent: May 26, 2015
    Assignee: Lam Research Corporation
    Inventors: Eric A. Hudson, Andrew D. Bailey, III, Rajinder Dhindsa
  • Patent number: 9039909
    Abstract: There is provided a plasma etching method for forming a hole in a silicon oxide film formed on an etching stopper layer. The plasma etching method includes a main etching process for etching the silicon oxide film; and an etching process that is performed when at least a part of the etching stopper layer is exposed after the main etching process. The etching process includes a first etching process using a gaseous mixture of a C4F6 gas, an Ar gas and an O2 gas as the processing gas; and a second etching process using a gaseous mixture of a C4F8 gas, an Ar gas and an O2 gas or a gaseous mixture of a C3F8 gas, an Ar gas and an O2 gas as the processing gas. The first etching process and the second etching process are alternately performed plural times.
    Type: Grant
    Filed: February 27, 2012
    Date of Patent: May 26, 2015
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Akira Nakagawa, Yuji Otsuka
  • Publication number: 20150136734
    Abstract: Provided is a substrate treating apparatus including a first supplying unit, a second supplying unit, a first source, a second source, a gas separation member or the like. Plasma generated from a first gas supplied from a first supplying unit by the first source is used for treating a central area of a substrate. Plasma generated from a second gas supplied from a second supplying unit by the second source is used for treating an edge area of the substrate. A gas separation member prevents plasmas generated respectively from first and second gases from being mixed up.
    Type: Application
    Filed: November 14, 2014
    Publication date: May 21, 2015
    Inventors: Hee Sun Chae, Jeong Hee Cho, Jong Sik Lee, Han Saem Rhee, Hyun Jun Kim
  • Patent number: 9034200
    Abstract: The present invention relates to a method of producing a diamond surface including the steps of providing an original diamond surface, subjecting the original diamond surface to plasma etching to remove at least 2 nm of material from the original surface and produce a plasma etched surface, the roughness Rq of the plasma etched surface at the location of the etched surface where the greatest depth of material has been removed satisfying at least one of the following conditions: Rq of the plasma etched surface is less than 1.5 times the roughness of Rq of the original surface, or Rq of the plasma etched surface is less than 1 nm.
    Type: Grant
    Filed: January 22, 2008
    Date of Patent: May 19, 2015
    Assignee: Element Six Limited Technologies Limited
    Inventors: Chee-Leong Lee, Erdan Gu, Geoffrey Alan Scarsbrook, Ian Friel, Martin David Dawson
  • Publication number: 20150129545
    Abstract: Methods of selectively etching metal-containing materials from the surface of a substrate are described. The etch selectively removes metal-containing materials relative to silicon-containing films such as silicon, polysilicon, silicon oxide, silicon germanium and/or silicon nitride. The methods include exposing metal-containing materials to halogen containing species in a substrate processing region. A remote plasma is used to excite the halogen-containing precursor and a local plasma may be used in embodiments. Metal-containing materials on the substrate may be pretreated using moisture or another OH-containing precursor before exposing the resulting surface to remote plasma excited halogen effluents in embodiments.
    Type: Application
    Filed: October 13, 2014
    Publication date: May 14, 2015
    Applicant: Applied Materials, Inc.
    Inventors: Nitin K. Ingle, Jessica Sevanne Kachian, Lin Xu, Soonam Park, Xikun Wang, Jeffrey W. Anthis
  • Patent number: 9029264
    Abstract: Methods of depositing a tin-containing layer on a substrate are disclosed herein. In some embodiments, a method of depositing a tin-containing layer on a substrate may include flowing a tin source comprising a tin halide into a reaction volume; flowing a hydrogen plasma into the reaction volume; forming one or more tin hydrides within the reaction volume from the tin source and the hydrogen plasma; and depositing the tin-containing layer on a first surface of the substrate using the one or more tin hydrides.
    Type: Grant
    Filed: February 27, 2013
    Date of Patent: May 12, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Errol Antonio C. Sanchez, Yi-Chiau Huang
  • Publication number: 20150122776
    Abstract: A dry etching apparatus plasma processes a wafer held by a carrier having a frame and an holding sheet. A electrode unit of a stage includes an electrostatic chuck. Adjacent to an upper surface of the electrostatic chuck, a first electrostatic attraction electrode and a second electrostatic attraction electrode are incorporated. The first electrostatic attraction electrode is of unipolar type and electrostatically attracts the wafer via the holding sheet. The second electrostatic electrode is of bipolar type and electrostatically attracts the frame via the holding sheet as well as a holding sheet between the wafer and the frame. Both of plasma processing performance and electrostatic attraction performance are improved.
    Type: Application
    Filed: October 27, 2014
    Publication date: May 7, 2015
    Inventor: Shogo OKITA
  • Publication number: 20150122775
    Abstract: A processing kit for a plasma processing chamber. The processing kit includes a plurality of ceramic arc-shaped pieces. Each arc-shaped piece has a concave first end and a convex second end and the first end of each arc-shaped piece is configured to mate with an adjacent end of a neighboring arc-shaped piece to form a ring shaped inner isolator.
    Type: Application
    Filed: October 23, 2014
    Publication date: May 7, 2015
    Inventor: Ramprakash SANKARAKRISHNAN
  • Patent number: 9023227
    Abstract: Embodiments described herein generally relate to a substrate processing system and related methods, such as an etching/deposition method. The method comprises (A) depositing a protective layer on a first layer disposed on a substrate in an etch reactor, wherein a plasma source power of 4,500 Watts or greater is applied while depositing the protective layer, (B) etching the protective layer in the etch reactor, wherein the plasma source power of 4,500 Watts or greater is applied while etching the protective layer, and (C) etching the first layer in the etch reactor, wherein the plasma source power of 4,500 Watts or greater is applied while etching the first layer, wherein a time for the depositing a protective layer (A) comprises less than 30% of a total cycle time for the depositing a protective layer (A), the etching the protective layer (B), and the etching the first layer (C).
    Type: Grant
    Filed: May 25, 2012
    Date of Patent: May 5, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Jivko Dinev, Saravjeet Singh, Khalid M. Sirajuddin, Tong Liu, Puneet Bajaj, Rohit Mishra, Sonal A. Srivastava, Madhava Rao Yalamanchili, Ajay Kumar
  • Patent number: 9023734
    Abstract: A method of etching exposed silicon oxide on patterned heterogeneous structures is described and includes a remote plasma etch formed from a fluorine-containing precursor. Plasma effluents from the remote plasma are flowed into a substrate processing region where the plasma effluents combine with a nitrogen-and-hydrogen-containing precursor. Reactants thereby produced etch the patterned heterogeneous structures with high silicon oxide selectivity while the substrate is at high temperature compared to typical Siconiā„¢ processes. The etch proceeds without producing residue on the substrate surface. The methods may be used to remove silicon oxide while removing little or no silicon, polysilicon, silicon nitride or titanium nitride.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: May 5, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Zhijun Chen, Jingchun Zhang, Ching-Mei Hsu, Seung Park, Anchuan Wang, Nitin K. Ingle
  • Publication number: 20150114930
    Abstract: A plasma processing method of the present disclosure includes attaching a Si-containing material or a N-containing material to an electrostatic chuck that is provided in a processing container and attached with a reaction product containing C and F, in a state where a workpiece is not mounted on the electrostatic chuck; adsorbing the workpiece by the electrostatic chuck attached with the Si-containing material or the N-containing material when the workpiece is carried into the processing container; processing the workpiece with plasma; and separating the workpiece processed with plasma from the electrostatic chuck attached with the Si-containing material or the N-containing material.
    Type: Application
    Filed: October 29, 2014
    Publication date: April 30, 2015
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Ryo NONAKA, Masanori SATO, Natsuki YABUMOTO, Takamitsu TAKAYAMA, Akitoshi HARADA, Junichi SASAKI, Hidetoshi HANAOKA
  • Patent number: 9017564
    Abstract: A plasma etching method performs plasma etching on a sample, which has laminated films containing a variable layer of a magnetic film, a barrier layer of an insulating material, and a fixed layer of a magnetic film, using a hard mask, which includes at least one of a Ta film and a TiN film. The plasma etching method includes a first step of etching the laminated films using N2 gas; and a second step of etching the laminated films after the first step using mixed gas of N2 gas and gas containing carbon elements.
    Type: Grant
    Filed: February 7, 2013
    Date of Patent: April 28, 2015
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Atsushi Yoshida, Naohiro Yamamoto, Makoto Suyama, Kentaro Yamada, Daisuke Fujita
  • Patent number: 9017571
    Abstract: A dry etching agent according to the present invention preferably contains: (A) 1,3,3,3-tetrafluoropropene; (B) at least one kind of additive gas selected from the group consisting of H2, O2, O3, CO, CO2, COCl2, COF2, CF3OF, NO2, F2, NF3, Cl2, Br2, I2, CH4, C2H2, C2H4, C2H6, C3H4, C3H6, C3H8, HF, HI, HBr, HCl, NO, NH3 and YFn (where Y represents Cl, Br or I; and n represents an integer satisfying 1?n?7); and (C) an inert gas. This dry etching agent has less effect on the global environment and can obtain a significant improvement in process window and address processing requirements such as low side etching ratio and high aspect ratio even without any special substrate excitation operation.
    Type: Grant
    Filed: June 24, 2011
    Date of Patent: April 28, 2015
    Assignee: Central Glass Company, Limited
    Inventors: Tomonori Umezaki, Yasuo Hibino, Isamu Mori, Satoru Okamoto, Akiou Kikuchi
  • Patent number: 9011705
    Abstract: The present invention relates to a method of forming polymer substrate with variable refractive index sensitivity, the method comprising the steps of: (a) contacting a metal-coated patterned mold with a polymer substrate at a temperature sufficient to deform said polymer substrate to thereby deposit a patterned mask of a metal film on the polymer substrate; and (b) etching away portions of said polymer substrate not covered by said patterned mask under conditions to form a region of variable refractive index sensitivity on said polymer substrate.
    Type: Grant
    Filed: July 26, 2012
    Date of Patent: April 21, 2015
    Assignee: Agency for Science, Technology and Research
    Inventors: Kwok Wei Shah, Xiaodi Su, Soo Jin Chua, Hong Yee Low
  • Publication number: 20150102011
    Abstract: A plasma etching apparatus includes first, second and third chambers, and a plasma generation device. An inner cross-sectional area and shape of the second chamber interior substantially corresponds to the upper surface of a substrate, and a substrate support is disposed so that, in use, the substrate is substantially in register with the interior of the second chamber, and the upper surface of the substrate is positioned at a distance of 80 mm or less from the interface between the second and third chambers.
    Type: Application
    Filed: May 23, 2014
    Publication date: April 16, 2015
    Applicant: SPTS TECHNOLOGIES LIMITED
    Inventor: MAXIME VARVARA
  • Patent number: 9005463
    Abstract: A method of forming a substrate opening includes forming a plurality of side-by-side openings in a substrate. At least some of immediately adjacent side-by-side openings are formed in the substrate to different depths relative one another. Walls that are laterally between the side-by-side openings are removed to form a larger opening having a non-vertical sidewall surface where the walls were removed in at least one straight-line vertical cross-section that passes through the sidewall surface orthogonally to the removed walls.
    Type: Grant
    Filed: May 29, 2013
    Date of Patent: April 14, 2015
    Assignee: Micron Technology, Inc.
    Inventor: Mark Kiehlbauch
  • Patent number: 9005462
    Abstract: In a method for manufacturing a silicon carbide semiconductor device, a conductive layer is formed on a silicon carbide layer. The silicon carbide layer and the conductive layer react with each other thus forming an alloy layer formed of a reaction layer in contact with the silicon carbide layer and a silicide layer on the reaction layer. A carbon component is removed from the silicide layer. A portion of the silicide layer is removed using an acid thus exposing at least a portion of the reaction layer. An electrode layer is formed on an upper side of the exposed reaction layer.
    Type: Grant
    Filed: September 9, 2011
    Date of Patent: April 14, 2015
    Assignee: Shindengen Electric Manufacturing Co., Ltd.
    Inventor: Jun-ichi Ohno
  • Patent number: 9005461
    Abstract: A plasma monitoring method using a sensor, the sensor having a substrate; a first electrode, the first electrode being a conductive electrode and formed on the substrate while being isolated from the substrate; an insulating film formed on the first electrode; a contact hole formed in the insulating film and having a depth from a surface of the insulating film to the first electrode; and a second electrode, the second electrode being a conductive electrode, formed on the surface of the insulating film, and faced to plasma during a plasma process, the plasma monitoring method including measuring and monitoring potentials of the first electrode and the second electrode or a potential difference between the first electrode and the second electrode during the plasma process is disclosed. A plasma monitoring system carrying out the plasma monitoring method is also disclosed.
    Type: Grant
    Filed: July 16, 2008
    Date of Patent: April 14, 2015
    Assignees: Lapis Semiconductor Co., Ltd., Tohoku University
    Inventors: Tomohiko Tatsumi, Seiji Samukawa
  • Patent number: 8999184
    Abstract: A method for forming via holes in an etch layer disposed below a patterned organic mask with a plurality of patterned via holes is provided. The patterned organic mask is treated by flowing a treatment gas comprising H2. A plasma is formed from the treatment gas. The patterned via holes are rounded to form patterned rounded via holes by exposing the patterned via holes to the plasma. The flow of the treatment gas is stopped. The plurality of patterned rounded via holes are transferred into the etch layer.
    Type: Grant
    Filed: August 3, 2012
    Date of Patent: April 7, 2015
    Assignee: Lam Research Corporation
    Inventors: Ming-Shu Kuo, Siyi Li, Yifeng Zhou, Ratndeep Srivastava, Tae Won Kim, Gowri Kamarthy
  • Patent number: 8999068
    Abstract: Provided is a chamber cleaning method capable of efficiently removing a CF-based shoulder deposit containing Si and Al deposited on an outer periphery of an ESC. A mixed gas of an O2 gas and a F containing gas is supplied toward an outer periphery 24a of an ESC 24 at a pressure ranging from about 400 mTorr to about 800 mTorr; plasma generated from the mixed gas is irradiated onto the outer periphery 24a of the ESC 24; an O2 single gas as a mask gas is supplied to the top surface of ESC 24 except the outer periphery 24a; and the shoulder deposit 50 adhered to the outer periphery 24a is decomposed and removed while preventing the top surface of ESC 24 except the outer periphery 24a from being exposed to a F radical.
    Type: Grant
    Filed: September 1, 2010
    Date of Patent: April 7, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Masanobu Honda, Hidetoshi Hanaoka, Taichi Hirano, Takanori Mimura, Manabu Iwata, Taketoshi Okajo
  • Patent number: 8999856
    Abstract: A method of selectively etching silicon nitride from a substrate comprising a silicon nitride layer and a silicon oxide layer includes flowing a fluorine-containing gas into a plasma generation region of a substrate processing chamber and applying energy to the fluorine-containing gas to generate a plasma in the plasma generation region. The plasma comprises fluorine radicals and fluorine ions. The method also includes filtering the plasma to provide a reactive gas having a higher concentration of fluorine radicals than fluorine ions and flowing the reactive gas into a gas reaction region of the substrate processing chamber. The method also includes exposing the substrate to the reactive gas in the gas reaction region of the substrate processing chamber. The reactive gas etches the silicon nitride layer at a higher etch rate than the reactive gas etches the silicon oxide layer.
    Type: Grant
    Filed: March 9, 2012
    Date of Patent: April 7, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Jingchun Zhang, Anchuan Wang, Nitin Ingle
  • Patent number: 8999177
    Abstract: Out-of-plane microneedle manufacturing process comprising the simultaneous creation of a network of microneedles and the creation of a polygonal shaped hat (2) above each microneedle (1) under formation, said process comprising the following steps: providing bridges (3) between the hats (3), maintaining the bridges (3) during the remaining microneedle manufacturing steps, removing the bridges (3), together with the hats (2), when the microneedles (1) are formed.
    Type: Grant
    Filed: October 17, 2008
    Date of Patent: April 7, 2015
    Assignee: Debiotech S.A.
    Inventors: Astrid Cachemaille, Francois Cannehan
  • Publication number: 20150090692
    Abstract: A plasma processing apparatus and a plasma processing method are provided which can sufficiently suppress an abnormal discharge in a gas space. A plasma processing apparatus includes a high frequency power source connected between a processing chamber and a base stand; a gas storage unit provided within the base stand and configured to store a gas; a blocking mechanism configured to block a gas introducing port of the gas storage unit; and a connection unit configured to connect a space between a disposition position of a wafer and the base stand, to the gas storage unit.
    Type: Application
    Filed: September 24, 2014
    Publication date: April 2, 2015
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yasuharu SASAKI, Akihito FUSHIMI, Manabu IWATA
  • Patent number: 8993445
    Abstract: Methods are provided for facilitating fabricating a semiconductor device by selectively etching a gate structure sidewall(s) to facilitate subsequent sidewall spacer isolation. The method includes, for instance: providing a gate structure with a protective layer(s) over the gate structure, the gate structure including one or more sidewalls; selectively removing a portion of the gate structure along at least one sidewall to partially undercut the protective layer(s); and forming a sidewall spacer(s) over the sidewall(s) of the gate structure, with a portion of the sidewall spacer at least partially filling the partial undercut of the protective layer(s), and residing below the protective layer(s). In certain embodiments, the selectively removing includes implanting the sidewall(s) with a dopant to produce a doped region(s) of the gate structure, and subsequently, at least partially removing the doped region(s) of the gate structure selective to an undoped region of the gate structure.
    Type: Grant
    Filed: January 14, 2013
    Date of Patent: March 31, 2015
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Dae-Han Choi, Dae Geun Yang, Chang Ho Maeng, Wontae Hwang
  • Patent number: 8992788
    Abstract: In conjunction with a photomask blank comprising a transparent substrate, a pattern-forming film, and an etch mask film, a set of etching conditions for the pattern-forming film is evaluated by measuring a first etching clear time (C1) taken when the etch mask film is etched under the etching conditions to be applied to the pattern-forming film, measuring a second etching clear time (C2) taken when the pattern-forming film is etched under the etching conditions, and computing a ratio (C1/C2) of the first to second etching clear time.
    Type: Grant
    Filed: November 16, 2012
    Date of Patent: March 31, 2015
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Shinichi Igarashi, Hiroki Yoshikawa, Yukio Inazuki, Hideo Kaneko
  • Patent number: 8992689
    Abstract: Methods for removing halogen-containing residues from a substrate are provided. By combining the heat-up and plasma abatement steps, the manufacturing throughput can be improved. Further, by appropriately controlling the pressure in the abatement chamber, the removal efficiency can be improved as well.
    Type: Grant
    Filed: February 29, 2012
    Date of Patent: March 31, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Adauto Diaz, Andrew Nguyen, Benjamin Schwarz, Eu Jin Lim, Jared Ahmad Lee, James P. Cruse, Li Zhang, Scott M. Williams, Xiaoliang Zhuang, Zhuang Li
  • Publication number: 20150083690
    Abstract: System and methods for plasma processing of a wafer include a chamber with an electrode having a support surface and an outer edge region defined thereon. A radio frequency power is communicated to the electrode via a conductive delivery connection and returned through a conductive return connection. A capacitance is applied to a first end that causes appropriate capacitive adjustment and opposite impedance adjustment at a second end of the conductive delivery connection that is coupled to a dielectric surround structure that surrounds the electrode. The dielectric surround structure presents the opposite impedance adjustment near an outer edge of the electrode, such that increasing the capacitance at the first end causes a corresponding increase of impedance at the second end and a corresponding increase in voltage distribution near the outer edge region of the electrode that decreases toward a center of the support surface of the electrode.
    Type: Application
    Filed: December 3, 2014
    Publication date: March 26, 2015
    Inventors: Zhigang Chen, Eric Hudson
  • Patent number: 8986561
    Abstract: Disclosed is a substrate processing method of etching a substrate including a target layer, and a mask layer and an intermediate layer that are stacked on the target layer, to form a pattern on the target layer via the intermediate layer and the mask layer. The intermediate layer is etched under a processing pressure of 100 mTorr (1.33Ɨ10 Pa) to 150 mTorr (2.0Ɨ10 Pa) by using as a processing gas a mixture gas of CF4, CHF3, and C4F8, and the mask layer is etched by using a COS-containing gas as a processing gas.
    Type: Grant
    Filed: December 23, 2009
    Date of Patent: March 24, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Sungtae Lee, Masahiro Ogasawara, Masahiro Ito
  • Patent number: 8986564
    Abstract: Apparatus and methods for handling workpieces in a processing system. The workpiece vertical lift mechanism (200), which is disposed inside a process chamber (40) of the processing system, is adapted to transfer a workpiece (55) to and from a pedestal portion (286) of an electrode (24). The pedestal portion (286) is configured to support the workpiece (55) during processing. The workpiece vertical lift mechanism (200) including a workpiece fixture (290) movable relative to the pedestal portion (286) between a first position in which the workpiece fixture (290) holds the workpiece (55) in a non-contacting relationship with the pedestal portion (286) and a second position in which the pedestal portion (286) projects above workpiece fixture (290) so as to transfer the workpiece (55) from the workpiece fixture (290) to the pedestal portion (286).
    Type: Grant
    Filed: July 17, 2012
    Date of Patent: March 24, 2015
    Assignee: Nordson Corporation
    Inventor: James P. Fazio
  • Patent number: 8987143
    Abstract: Methods and apparatus for processing using a remote plasma source are disclosed. The apparatus includes an outer chamber enclosing a substrate support, a remote plasma source, and a showerhead. A substrate heater can be mounted in the substrate support. A transport system moves the substrate support and is capable of positioning the substrate. The plasma system may be used to generate activated hydrogen species. The activated hydrogen species can be used to etch/clean semiconductor oxide surfaces such as silicon oxide or germanium oxide.
    Type: Grant
    Filed: September 19, 2013
    Date of Patent: March 24, 2015
    Assignee: Intermolecular, Inc.
    Inventors: Ratsamee Limdulpaiboon, Chi-I Lang, Sandip Niyogi, J. Watanabe
  • Patent number: 8986560
    Abstract: A method for producing an optical semiconductor device includes the steps of determining a wafer size to make a section arrangement including a plurality of sections in each of which the optical semiconductor device including a semiconductor mesa is formed; obtaining an in-plane distribution of a thickness of a resin layer on a wafer; obtaining a correlation between a thickness of a resin layer and a trench width; forming a trench width map using the in-plane distribution of the thickness and the correlation; preparing an epitaxial substrate by forming a stacked semiconductor layer; forming, on the epitaxial substrate, a mask based on the trench width map; forming a trench structure including the semiconductor mesa by etching the stacked semiconductor layer using the mask; forming a resin layer on the trench structure; and forming an opening on the semiconductor mesa by etching the resin layer.
    Type: Grant
    Filed: October 18, 2013
    Date of Patent: March 24, 2015
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Takamitsu Kitamura, Hideki Yagi
  • Patent number: 8986556
    Abstract: A TAMR (Thermally Assisted Magnetic Recording) write head is formed with a narrow pole tip, a trailing edge magnetic shield and, optionally, a plasmon shield. The narrow pole tipped write head uses the energy of laser generated edge plasmons, formed in a plasmon generating layer, to locally heat a PMR magnetic recording medium slightly below its Curie temperature, Tc. When combined with the effects of the narrow tip, this local heating to a temperature below Tc is sufficient to create good transitions and narrow track widths in the magnetic medium. The write head is capable of writing effectively on state-of-the-art PMR recording media having Hk of 20 kOe or more.
    Type: Grant
    Filed: February 4, 2013
    Date of Patent: March 24, 2015
    Assignee: Headway Technologies, Inc.
    Inventors: Xuhui Jin, Yuchen Zhou, Kenichi Takano, Joe Smyth
  • Patent number: 8986558
    Abstract: A plasma etching method capable of oblique etching with a high aspect ratio and high uniformity is provided. In the plasma etching method, a base body is etched with a high aspect ratio by the following process: An electric-field control device having an ion-introducing orifice penetrating therethrough in a direction inclined from the normal to the surface of a base body is placed on or above the surface of this base body. Plasma is generated on the surface of the base body on or above which the electric-field control is placed. A potential difference is formed between the plasma and the base body so as to attract ions in the plasma toward the base body.
    Type: Grant
    Filed: August 27, 2009
    Date of Patent: March 24, 2015
    Assignee: Japan Science and Technology Agency
    Inventors: Susumu Noda, Shigeki Takahashi
  • Publication number: 20150076112
    Abstract: A variable capacitor is provided within a radiofrequency (RF) power transmission path to a bias electrode, in addition to an impedance matching circuit provided within the RF power transmission path to the bias electrode. An RF power supply is operated in a pulsed mode to transmit pulses of RF power through the RF power transmission path to the bias electrode. A capacitance of the variable capacitor is set to control a rate at which a DC bias voltage builds up on a substrate present above the bias electrode during each pulse of RF power. The rate at which the DC bias voltage builds up on the substrate controls an ion energy distribution and an ion angular distribution within a plasma exposed to an electromagnetic field emanating from the substrate.
    Type: Application
    Filed: September 19, 2013
    Publication date: March 19, 2015
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Saravanapriyan Sriraman, Alexander Paterson
  • Publication number: 20150076111
    Abstract: Etching a feature of a structure by an etch system is facilitated by varying supply of radio frequency (RF) power pulses to the etch system. The varying provides at least one RF power pulse, of the supplied RF power pulses, that deviates from one or more other RF power pulses, of the supplied RF power pulses, by at least one characteristic.
    Type: Application
    Filed: September 19, 2013
    Publication date: March 19, 2015
    Applicant: GLOBALFOUNDRIES Inc.
    Inventors: Xiang HU, Gabriel PADRON WELLS, Jack Chao-Hsu CHANG, Mingmei WANG, Taejoon HAN
  • Publication number: 20150076110
    Abstract: Embodiments described herein generally provide a method for performing a semiconductor precleaning process. More specifically, embodiments provided herein relate to boron ionization for aluminum oxide etch enhancement. A process for removing native oxide from aluminum may utilize ionized boron alone or in combination with a halogen plasma. The ionized boron may provide improved aluminum oxide etching properties while being highly selective for native oxides more generally.
    Type: Application
    Filed: September 16, 2013
    Publication date: March 19, 2015
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Kai WU, Sang Ho YU, Kie Jin PARK, Glen T. MORI, Joshua COLLINS
  • Publication number: 20150075283
    Abstract: The present invention provides a capacitive acceleration sensor with a bending elastic beam and a preparation method. The sensor at least includes a first electrode structural layer, a middle structural layer and a second electrode structural layer; wherein the first electrode structural layer and the second electrode structural layer are provided with an electrode lead via-hole, respectively; the middle structural layer includes: a frame formed on a SOI silicon substrate with a double device layers, a seismic mass whose double sides are symmetrical and a bending elastic beam with one end connected to the frame and the other end connected to the seismic mass, wherein anti-overloading bumps and damping grooves are symmetrically provided on two sides of the seismic mass, and the bending elastic beams at different planes are staggered distributed and are not overlapped with each other in space.
    Type: Application
    Filed: December 4, 2012
    Publication date: March 19, 2015
    Inventors: Lufeng Che, Xiaofeng Zhou, Yuelin Wang
  • Patent number: 8980760
    Abstract: Methods and apparatus for controlling a plasma are provided herein. In some embodiments, a method may include supplying a first RF signal having a first frequency and a first period from an RF power source to a first electrode, wherein the first period is a first integer number of first cycles at the first frequency; supplying a second RF signal having a second frequency and a second period from the RF power source to the first electrode, wherein the second period is a second integer number of second cycles at the second frequency and wherein a first multiplicative product of the first frequency and the first integer number is equal to a second multiplicative product of the second frequency and the second integer number; and controlling the phase between the first and second periods to control an ion energy distribution of the plasma formed in a process chamber.
    Type: Grant
    Filed: April 9, 2012
    Date of Patent: March 17, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Ankur Agarwal, Ajit Balakrishna, Shahid Rauf
  • Patent number: 8980418
    Abstract: A plasma etch resist material modified by an inorganic protective component via sequential infiltration synthesis (SIS) and methods of preparing the modified resist material. The modified resist material is characterized by an improved resistance to a plasma etching or related process relative to the unmodified resist material, thereby allowing formation of patterned features into a substrate material, which may be high-aspect ratio features. The SIS process forms the protective component within the bulk resist material through a plurality of alternating exposures to gas phase precursors which infiltrate the resist material. The plasma etch resist material may be initially patterned using photolithography, electron-beam lithography or a block copolymer self-assembly process.
    Type: Grant
    Filed: March 22, 2012
    Date of Patent: March 17, 2015
    Assignee: UChicago Argonne, LLC
    Inventors: Seth B. Darling, Jeffrey W. Elam, Yu-Chih Tseng, Qing Peng
  • Patent number: 8980763
    Abstract: Methods of selectively etching tungsten relative to silicon-containing films (e.g. silicon oxide, silicon carbon nitride and (poly)silicon) as well as tungsten oxide are described. The methods include a remote plasma etch formed from a fluorine-containing precursor and/or hydrogen (H2). Plasma effluents from the remote plasma are flowed into a substrate processing region where the plasma effluents react with the tungsten. The plasma effluents react with exposed surfaces and selectively remove tungsten while very slowly removing other exposed materials. Sequential and simultaneous methods are included to remove thin tungsten oxide which may, for example, result from exposure to the atmosphere.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: March 17, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Xikun Wang, Ching-Mei Hsu, Nitin K. Ingle, Zihui Li, Anchuan Wang
  • Patent number: 8980750
    Abstract: A chemical mechanical polishing (CMP) composition (Q) comprising (A) Inorganic particles, organic particles, or a mixture or composite thereof, wherein the particles are cocoon-shaped (B) a non-ionic surfactant, (C) a carbonate or hydrogen carbonate salt, (D) an alcohol, and (M) an aqueous medium.
    Type: Grant
    Filed: July 2, 2013
    Date of Patent: March 17, 2015
    Assignee: BASF SE
    Inventors: Robert Reichardt, Yuzhuo Li, Michael Lauter, Wei Lan William Chiu
  • Patent number: 8980110
    Abstract: A liquid ejection head includes a substrate having an ejection energy generating element formed at a first surface side thereof, a common liquid chamber formed at a second surface of the substrate, and a liquid supply port extending from the bottom of the common liquid chamber to the first surface. The liquid ejection head is manufactured by preparing a substrate having the common liquid chamber formed at the second surface side, then arranging a material to be filled in the common liquid chamber, subsequently forming an aperture in the filled material as corresponding to the liquid supply port to be formed, and thereafter forming the liquid supply port by reactive ion etching, using at least the filled material as a mask.
    Type: Grant
    Filed: February 6, 2013
    Date of Patent: March 17, 2015
    Assignee: Canon Kabushiki Kaisha
    Inventors: Masahisa Watanabe, Kazuhiro Hayakawa, Toshiyasu Sakai
  • Patent number: 8980111
    Abstract: A method for patterning a substrate is described. The patterning method may include conformally depositing a material layer over a pattern according to a conformal deposition process, selectively depositing a second material layer on an exposed surface of the material layer according to a selected deposition process recipe; partially removing the material layer using a plasma etching process to expose a top surface of the pattern, open a portion of the material layer at a bottom region between adjacent features of the pattern, and retain a remaining portion of the material layer on sidewalls of the pattern; and removing the pattern using one or more etching processes to leave a final pattern comprising the remaining portion of the material layer and the second layer.
    Type: Grant
    Filed: May 14, 2013
    Date of Patent: March 17, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Akiteru Ko, Kosuke Ogasawara
  • Publication number: 20150072158
    Abstract: A black polyimide film includes a polyimide polymer formed by reaction of diamine monomers with dianhydride monomers, and a carbon black having an oxygen-to-carbon weight ratio higher than 11%. The black polyimide film can prevent flaking of carbon black when it is subject to an etching process, and exhibit desirable characteristics of extension rate and insulation.
    Type: Application
    Filed: June 30, 2014
    Publication date: March 12, 2015
    Inventors: Chih-Wei LIN, Chi-Huan LO
  • Publication number: 20150069017
    Abstract: A system for processing a substrate includes a plasma chamber to generate a plasma therein. The system also includes a process chamber to house the substrate, where the process chamber is adjacent the plasma chamber. The system also includes a rotatable extraction electrode disposed between the plasma chamber and substrate, where the rotatable extraction electrode is configured to extract an ion beam from the plasma, and configured to scan the ion beam over the substrate without movement of the substrate by rotation about an extraction electrode axis.
    Type: Application
    Filed: September 7, 2013
    Publication date: March 12, 2015
    Applicant: Varian Semiconductor Equipment Associates, Inc.
    Inventor: James P. Buonodono
  • Patent number: 8974683
    Abstract: A method of reducing roughness in an opening in a surface of a resist material disposed on a substrate, comprises generating a plasma having a plasma sheath and ions therein. The method also includes modifying a shape of a boundary defined between the plasma and the plasma sheath with a plasma sheath modifier so that a portion of the boundary facing the resist material is not parallel to a plane defined by the surface of the substrate. The method also includes providing a first exposure of ions while the substrate is in a first position, the first exposure comprising ions accelerated across the boundary having the modified shape toward the resist material over an angular range with respect to the surface of the substrate.
    Type: Grant
    Filed: September 9, 2011
    Date of Patent: March 10, 2015
    Inventors: Ludovic Godet, Patrick M. Martin, Joseph C. Olson, Andrew J. Hornak
  • Patent number: 8975185
    Abstract: During formation of a charge trap separation in a semiconductor device, a polymer deposition is formed in a reactor using a first chemistry. In a following step, a second chemistry can be used to etch the polymer deposition in the reactor. The same or similar second chemistry can be used in a second etching step to expose a first oxide layer in each of the cells of the semiconductor device and to form a flat upper surface. This additional etch step can also be performed by the reactor, thereby reducing the number of machines required in the formation process.
    Type: Grant
    Filed: November 26, 2012
    Date of Patent: March 10, 2015
    Assignee: Spansion, LLC
    Inventor: Angela Tai Hui
  • Patent number: 8975192
    Abstract: A method is provided for manufacturing a semiconductor device having a heat-resistant resin film with flip-chip connection structure using a solder bump or a gold bump and an epoxy resin compound laminated thereon, in which adhesiveness is improved particularly after exposure to high temperature and high humidity environments for a long period of time, thereby enhancing the reliability of the semiconductor device. The method, in accordance with the present invention, for manufacturing a semiconductor device having a heat-resistant resin film formed on a semiconductor element and an epoxy resin compound layer laminated thereon, comprises the steps of carrying out a plasma treatment on a surface of the heat-resistant resin film on which the epoxy resin compound layer is laminated using a nitrogen atom-containing gas containing at least one of nitrogen, ammonia, and hydrazine.
    Type: Grant
    Filed: August 22, 2006
    Date of Patent: March 10, 2015
    Assignee: Hitachi Chemical Dupont Microsystems Ltd.
    Inventors: Yasunori Kojima, Toshiaki Itabashi
  • Patent number: 8974682
    Abstract: A self-assembled pattern forming method in an embodiment includes: forming a guide pattern on a substrate; forming a layer of a first polymer; filling a first block copolymer; and phase-separating the first block copolymer. The guide pattern includes a first recessed part having a depth T and a diameter D smaller than the depth T, and a second recessed part having a width larger than double of the diameter D. The first block copolymer has the first polymer and a second polymer which are substantially the same in volume fraction. By phase-separating the first block copolymer, a cylinder structure and a lamellar structure are obtained.
    Type: Grant
    Filed: June 28, 2013
    Date of Patent: March 10, 2015
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hiroyuki Hieda, Yoshiyuki Kamata, Naoko Kihara, Akira Kikitsu, Ryosuke Yamamoto
  • Patent number: 8974684
    Abstract: Methods for etching a substrate are provided herein. In some embodiments, a method of etching a substrate may include generating a plasma by providing only a first RF signal having a first frequency and a first duty cycle; applying only a second RF signal to bias the plasma towards the substrate, wherein the second RF signal has the first frequency and a second duty cycle different than the first duty cycle; adjusting a phase variance between the first and second RF signals to control an ion energy distribution in the plasma; and etching the substrate with the plasma.
    Type: Grant
    Filed: April 27, 2012
    Date of Patent: March 10, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Samer Banna, Ankur Agarwal