Using Plasma Patents (Class 216/67)
  • Patent number: 8969212
    Abstract: A method of etching exposed patterned heterogeneous structures is described and includes a remote plasma etch formed from a reactive precursor. The plasma power is pulsed rather than left on continuously. Plasma effluents from the remote plasma are flowed into a substrate processing region where the plasma effluents selectively remove one material faster than another. The etch selectivity results from the pulsing of the plasma power to the remote plasma region, which has been found to suppress the number of ionically-charged species that reach the substrate. The etch selectivity may also result from the presence of an ion suppression element positioned between a portion of the remote plasma and the substrate processing region.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: March 3, 2015
    Assignee: Applied Materials, Inc.
    Inventors: He Ren, Jang-Gyoo Yang, Jonghoon Baek, Anchuan Wang, Soonam Park, Saurabh Garg, Xinglong Chen, Nitin K. Ingle
  • Patent number: 8969211
    Abstract: The present invention provides a plasma processing method that uses a plasma processing apparatus including a plasma processing chamber in which a sample is plasma processed, a first radio-frequency power supply that supplies a first radio-frequency power for generating plasma, and a second radio-frequency power supply that supplies a second radio-frequency power to a sample stage on which the sample is mounted, wherein the plasma processing method includes the steps of modulating the first radio-frequency power by a first pulse; and controlling a plasma dissociation state to create a desired dissociation state by gradually controlling a duty ratio of the first pulse as a plasma processing time elapses.
    Type: Grant
    Filed: August 7, 2013
    Date of Patent: March 3, 2015
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Satoru Muto, Tetsuo Ono, Yasuo Ohgoshi, Hirofumi Eitoku
  • Patent number: 8969209
    Abstract: A method for removing oxide is described. A substrate is provided, including an exposed portion whereon a native oxide layer has been formed. A removing oxide process is performed to the substrate using nitrogen trifluoride (NF3) and ammonia (NH3) as a reactant gas, wherein the volumetric flow rate of NF3 is greater than that of NH3.
    Type: Grant
    Filed: August 13, 2013
    Date of Patent: March 3, 2015
    Assignee: United Microelectronics Corp.
    Inventors: Yen-Chu Chen, Teng-Chun Tsai, Chien-Chung Huang, Keng-Jen Liu
  • Patent number: 8968588
    Abstract: A surface wave plasma (SWP) source couples pulsed microwave (MW) energy into a processing chamber through, for example, a radial line slot antenna, to result in a low mean electron energy (Te). To prevent impingement of the microwave energy onto the surface of a substrate when plasma density is low between pulses, an ICP source, such as a helical inductive source, a planar RF coil, or other inductively coupled source, is provided between the SWP source and the substrate to produce plasma that is opaque to microwave energy. The ICP source can also be pulsed in synchronism with the pulsing of the MW plasma in phase with the ramping up of the MW pulses. The ICP also adds an edge dense distribution of plasma to a generally chamber centric MW plasma to improve plasma uniformity.
    Type: Grant
    Filed: March 30, 2012
    Date of Patent: March 3, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Jianping Zhao, Lee Chen, Vincent M. Donnelly, Demetre J. Economou, Merritt Funk, Radha Sundararajan
  • Patent number: 8968587
    Abstract: Methods of preparing graphene nano ribbons may include forming a graphene sheet on at least one surface of a substrate, forming a plasma mask having a nano pattern on the graphene sheet, and forming a nano pattern on the graphene sheet by plasma treating a stack structure on which the plasma mask is formed.
    Type: Grant
    Filed: June 6, 2011
    Date of Patent: March 3, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyeon-jin Shin, Jae-young Choi, Young-hee Lee, Gang-hee Han
  • Patent number: 8969210
    Abstract: There is provided a plasma etching apparatus provided for performing an etching in a desirable shape. The plasma etching apparatus includes a processing chamber 12 for performing a plasma process on a target substrate W; a gas supply unit 13 for supplying a plasma processing gas into the processing chamber 12; a supporting table positioned within the processing chamber 12 and configured to support the target substrate thereon; a microwave generator 15 for generating a microwave for plasma excitation; a plasma generation unit for generating plasma within the processing chamber 12 by using the generated microwave; a pressure control unit for controlling a pressure within the processing chamber 12; a bias power supply unit for supplying AC bias power to the supporting table 14; and a control unit for controlling the AC bias power by alternately repeating supply and stop of the AC bias power.
    Type: Grant
    Filed: September 14, 2011
    Date of Patent: March 3, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Toshihisa Nozawa, Masaru Sasaki, Jun Hashimoto, Shota Yoshimura, Toshihisa Ozu, Tetsuya Nishizuka
  • Publication number: 20150054000
    Abstract: A method for treating a surface of a diamond thin film according to one aspect of the present invention performs one of a first substitution process for substituting part of hydrogen-terminals of a diamond thin film with fluorine-terminals in the absence of a fluorocarbon deposition on the surface of diamond thin film and a second substitution process for substituting part of hydrogen-terminals of a diamond thin film with fluorine-terminals in the presence of the fluorocarbon deposition on the surface of diamond thin film based on required surface properties of the diamond thin film.
    Type: Application
    Filed: August 25, 2014
    Publication date: February 26, 2015
    Applicants: WASEDA UNIVERSITY, YOKOGAWA ELECTRIC CORPORATION
    Inventors: Yukihiro SHINTANI, Toshiyuki SARUYA, Hiroshi KAWARADA
  • Patent number: 8961803
    Abstract: A method is provided for treating a surface of a porous material in an environment, the method comprising the steps of contacting a porous material with an organic gas in an environment having a pressure P1 and a temperature T1, wherein the organic gas is such that at the pressure P1 and at the temperature T1 it remains a gas when outside of the porous material but condenses as an organic liquid when in contact with the porous material, thereby filling pores of the porous material with the organic liquid, cooling down the filled porous material to a temperature T2 such that the organic liquid freezes within the pores, thereby sealing the pores with an organic solid, thereby providing a protected porous material, and performing a treatment on the surface.
    Type: Grant
    Filed: July 9, 2014
    Date of Patent: February 24, 2015
    Assignee: Imec VZW
    Inventor: Mikhaïl Baklanov
  • Patent number: 8961805
    Abstract: A method for performing dry etching on a metal film containing Pt via a mask layer includes performing dry etching on the metal film by generating a plasma of an etching gas including a gaseous mixture of H2 gas, CO2 gas, methane gas and rare gas. With the dry etching method, it is possible to make a vertical sidewall of a hole or trench more vertical without using a halogen gas.
    Type: Grant
    Filed: October 19, 2012
    Date of Patent: February 24, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Eiichi Nishimura, Takashi Sone
  • Patent number: 8956809
    Abstract: The present invention provides methods for etching a quartz substrate using a second level photoresist layer disposed thereon as an etching mask. In one embodiment, a method of etching a quartz substrate for forming a photomask includes providing a quartz substrate having a metal containing layer disposed thereon in an etch chamber, applying a first photoresist layer on a substrate, patterning the first photoresist layer to remove a first region of the metal containing layer to expose a first portion of the quartz substrate while remaining a second region of the metal containing layer on the quartz substrate, removing the remaining first photoresist layer on the quartz substrate, applying a second photoresist layer on the exposed quartz substrate and the second region of the metal containing layer, patterning the second photoresist layer to form openings in the second photoresist layer exposing the underlying quartz substrate, and etching the quartz substrate defined by the patterned second photoresist layer.
    Type: Grant
    Filed: January 25, 2013
    Date of Patent: February 17, 2015
    Assignee: Applied Materials, Inc.
    Inventor: Michael Grimbergen
  • Patent number: 8956546
    Abstract: A substrate processing method for removing an Si-based film on a surface of a substrate accommodated in a processing chamber includes a first step in which the Si-based film on the surface of the substrate is transformed into a reaction product by a gas containing a halogen element and an alkaline gas in the processing chamber and a second step in which the reaction product is vaporized in the processing chamber which is depressurized to a pressure lower than a pressure during the first step. The first step and the second step are repeated two or more times.
    Type: Grant
    Filed: August 2, 2011
    Date of Patent: February 17, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Hajime Ugajin, Shigeki Tozawa
  • Publication number: 20150041433
    Abstract: Internal components of plasma reactors are composed of a toleratable, ceramic filled plasma-useful polymer such as a high temperature engineering thermoplastic, preferably a polyamideimide or polybenzimidazole. The parts exhibit a low erosion rate upon exposure to plasma at low pressure.
    Type: Application
    Filed: April 10, 2012
    Publication date: February 12, 2015
    Applicant: QUADRANT EPP AG
    Inventors: Scott Howard Williams, Richard William Campbell, Stephan Glander
  • Patent number: 8951429
    Abstract: Methods of selectively etching tungsten oxide relative to tungsten, silicon oxide, silicon nitride and/or titanium nitride are described. The methods include a remote plasma etch using plasma effluents formed from a fluorine-containing precursor in combination with ammonia (NH3). Plasma effluents from the remote plasma are flowed into a substrate processing region where the plasma effluents react with the tungsten oxide. The plasmas effluents react with exposed surfaces and selectively remove tungsten oxide while very slowly removing other exposed materials. Increasing a flow of ammonia during the process removes a typical skin of tungsten oxide having higher oxidation coordination number first and then selectively etching lower oxidation tungsten oxide. In some embodiments, the tungsten oxide etch selectivity results partly from the presence of an ion suppression element positioned between the remote plasma and the substrate processing region.
    Type: Grant
    Filed: December 20, 2013
    Date of Patent: February 10, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Jie Liu, Xikun Wang, Seung Park, Mikhail Korolik, Anchuan Wang, Nitin K. Ingle
  • Patent number: 8951425
    Abstract: A method of forming at least one curved plate having first and second layers, the first layer being formed of a first material and the second layer being formed of a second material, the method including forming one or more blocks of a fusible material on a surface of a substrate; baking the one or more blocks to deform their shape; and depositing the first and second materials over the one or more deformed blocks to form the first and second layers.
    Type: Grant
    Filed: March 28, 2013
    Date of Patent: February 10, 2015
    Assignee: STMicroelectronics (Crolles 2) SAS
    Inventors: Stéphane Monfray, Thomas Skotnicki, Onoriu Puscasu, Christophe Maitre
  • Patent number: 8945403
    Abstract: Material test structures having cantilever portions and methods of forming the same are described herein. As an example, a method of forming a material test structure includes forming a number of electrode portions in a first dielectric material, forming a second dielectric material on the first dielectric material, wherein the second dielectric material includes a first cantilever portion and a second cantilever portion, and forming a test material on the number of electrode portions, the first dielectric material, and the second dielectric material.
    Type: Grant
    Filed: April 27, 2012
    Date of Patent: February 3, 2015
    Assignee: Micron Technology, Inc.
    Inventors: Fabio Pellizzer, Innocenzo Tortorelli, Christina Papagianni, Gianpaolo Spadini, Jong Won Lee
  • Patent number: 8945409
    Abstract: The present invention provides a porous medium with increased hydrophobicity and a method of manufacturing the same, in which a micro-nano dual structure is provided by forming nanoprotrusions with a high aspect ratio by performing plasma etching on the surface of a porous medium with a micrometer-scale surface roughness and a hydrophobic thin film is deposited on the surface of the micro-nano dual structure, thus significantly increasing hydrophobicity. When this highly hydrophobic porous medium is used as a gas diffusion layer of a fuel cell, it is possible to efficiently discharge water produced during electrochemical reaction of the fuel cell, thus preventing flooding in the fuel cell. Moreover, it is possible to sufficiently supply reactant gases such as hydrogen and air (oxygen) to a membrane electrode assembly (MEA), thus improving the performance of the fuel cell.
    Type: Grant
    Filed: August 16, 2011
    Date of Patent: February 3, 2015
    Assignees: Hyundai Motor Company, Korea Institute of Science and Technology
    Inventors: Bo Ki Hong, Sae Hoon Kim, Kwang Ryeol Lee, Myoung Woon Moon
  • Patent number: 8945413
    Abstract: Etching is performed through the following process. A substrate is loaded into a processing chamber and mounted on a mounting table therein. Then, in the state where a ring member at least a surface of which is made of a same material as a main component of an etching target film is provided to surround the substrate, a processing gas is injected in a shower-like manner from a gas supply unit oppositely facing the substrate and the etching target film is etched by using a plasma of the processing gas; and evacuating the inside of the processing chamber through an exhaust path. Through this process, unbalanced distribution of plasma active species in the vicinity of a circumferential edge portion of the substrate can be suppressed.
    Type: Grant
    Filed: July 20, 2012
    Date of Patent: February 3, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Ayuta Suzuki, Songyun Kang, Tsuyoshi Moriya, Nobutoshi Terasawa, Yoshiaki Okabe
  • Patent number: 8945410
    Abstract: Disclosed is a fuel cell with enhanced mass transfer characteristics in which a highly hydrophobic porous medium, which is prepared by forming a micro-nano dual structure in which nanometer-scale protrusions with a high aspect ratio are formed on the surface of a porous medium with a micrometer-scale roughness by plasma etching and then by depositing a hydrophobic thin film thereon, is used as a gas diffusion layer, thereby increasing hydrophobicity due to the micro-nano dual structure and the hydrophobic thin film. When this highly hydrophobic porous medium is used as a gas diffusion layer for a fuel cell, it is possible to reduce water flooding by efficiently discharging water produced by an electrochemical reaction of the fuel cell and to improve the performance of the fuel cell by facilitating the supply of reactant gases such as hydrogen and air (oxygen) to a membrane-electrode assembly (MEA).
    Type: Grant
    Filed: June 19, 2012
    Date of Patent: February 3, 2015
    Assignees: Hyundai Motor Company, Korea Institute of Science and Technology
    Inventors: Bo Ki Hong, Sae Hoon Kim, Kook Il Han, Kwang Ryeol Lee, Myoung Woon Moon
  • Patent number: 8945411
    Abstract: The present invention is to achieve a reduction both in size of a plasma processing apparatus and an installation area thereof. A dry etching apparatus includes a stock unit that includes a cassette storing a tray that can be conveyed and that stores substrates. In a conveying unit storing a conveying apparatus of the tray, a rotary stage is provided. Rotational angular position adjustment of the tray is performed by rotating the rotary stage placed on the tray before being subjected to dry etching and detecting a notch by a notch detecting sensor.
    Type: Grant
    Filed: March 26, 2012
    Date of Patent: February 3, 2015
    Assignee: Panasonic Corporation
    Inventor: Tetsuhiro Iwai
  • Patent number: 8945406
    Abstract: A method for manufacturing a symbol on an exterior of an electronic device is provided. The method includes preparing a support layer, preparing a nanograting layer on the support layer, the nanograting layer including a first nanograting area corresponding to a preset symbol and a second nanograting area corresponding to an area other than the preset symbol, wherein each of the first nanograting area and the second nanograting area includes three-dimensional (3D) nanostructures and a pitch between the 3D nanostructures arranged in the first nanograting area is different from a pitch between the 3D nanostructures arranged in the second nanograting area.
    Type: Grant
    Filed: September 6, 2012
    Date of Patent: February 3, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seong-eun Chung, Il-yong Jung
  • Publication number: 20150027982
    Abstract: A method of forming an imaging blanket for a printing apparatus comprises preparing a support structure (e.g., mold) for receipt of a polymer blanket compound, introducing the polymer blanket compound in liquid state over the support structure, curing the polymer blanket compound to produce an imaging blanket, releasing the imaging blanket from the support structure, and etching a surface of the imaging blanket to form a texture pattern therein, the surface forming an imaging surface of said imaging blanket. An imaging surface providing desirable dampening fluid retention is provided. Wet etch, dry etch or a combination of both may be used. The polymer may be a silicone compound, may include 3 percent by weight granular material.
    Type: Application
    Filed: July 29, 2013
    Publication date: January 29, 2015
    Applicants: Xerox Corporation, Palo Alto Research Center Incorporated
    Inventors: Timothy D. Stowe, Sourobh Raychaudhuri, Carolyn P. Moorlag, Michael Y. Young
  • Patent number: 8940098
    Abstract: A plasma etch processing chamber configured to clean a bevel edge of a substrate is provided. The chamber includes a bottom edge electrode and a top edge electrode defined over the bottom edge electrode. The top edge electrode and the bottom edge electrode are configured to generate a cleaning plasma to clean the bevel edge of the substrate. The chamber includes a gas feed defined through a top surface of the processing chamber. The gas feed introduces a processing gas for striking the cleaning plasma at a location in the processing chamber that is between an axis of the substrate and the top edge electrode. A pump out port is defined through the top surface of the chamber and the pump out port located along a center axis of the substrate. A method for cleaning a bevel edge of a substrate is also provided.
    Type: Grant
    Filed: July 2, 2013
    Date of Patent: January 27, 2015
    Assignee: Lam Research Corporation
    Inventors: Greg Sexton, Andrew D. Bailey, III, Alan Schoepp
  • Patent number: 8937017
    Abstract: Embodiments of the invention relate to a substrate etching method and apparatus. In one embodiment, a method for etching a substrate in a plasma etch reactor is provided that include flowing a backside process gas between a substrate and a substrate support assembly, and cyclically etching a layer on the substrate.
    Type: Grant
    Filed: January 29, 2010
    Date of Patent: January 20, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Alan Cheshire, Stanley Detmar
  • Patent number: 8932475
    Abstract: A method of patterning a conductor on a substrate includes providing an inked elastomeric stamp inked with self-assembled monolayer-forming molecules and having a relief pattern with raised features. Then the raised features of the inked stamp contact a metal-coated visible light transparent substrate. Then the metal is etched to form an electrically conductive micropattern corresponding to the raised features of the inked stamp on the visible light transparent substrate.
    Type: Grant
    Filed: March 21, 2013
    Date of Patent: January 13, 2015
    Assignee: 3M Innovative Properties Company
    Inventors: Lijun Zu, Matthew H. Frey
  • Patent number: 8932406
    Abstract: The molecular etcher carbonyl fluoride (COF2) or any of its variants, are provided for, according to the present invention, to increase the efficiency of etching and/or cleaning and/or removal of materials such as the unwanted film and/or deposits on the chamber walls and other components in a process chamber or substrate (collectively referred to herein as “materials”). The methods of the present invention involve igniting and sustaining a plasma, whether it is a remote or in-situ plasma, by stepwise addition of additives, such as but not limited to, a saturated, unsaturated or partially unsaturated perfluorocarbon compound (PFC) having the general formula (CyFz) and/or an oxide of carbon (COx) to a nitrogen trifluoride (NF3) plasma into a chemical deposition chamber (CVD) chamber, thereby generating COF2. The NF3 may be excited in a plasma inside the CVD chamber or in a remote plasma region upstream from the CVD chamber.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: January 13, 2015
    Assignee: Matheson Tri-Gas, Inc.
    Inventors: Glenn Mitchell, Ramkumar Subramanian, Carrie L. Wyse, Robert Torres, Jr.
  • Patent number: 8933629
    Abstract: A method of establishing a DC bias in front of at least one electrode in a plasma operating apparatus by applying an RF voltage with at least two harmonic frequency components with a controlled relative phase between the components, where at least one of the higher frequency components is established as an even multiple of the lower frequency component.
    Type: Grant
    Filed: November 8, 2013
    Date of Patent: January 13, 2015
    Assignee: Ruhr-Universität Bochum
    Inventors: Brian George Heil, Uwe Czarnetzki, Ralf Peter Brinkmann, Thomas Mussenbrock
  • Publication number: 20150011088
    Abstract: Methods are disclosed for depositing material onto and/or etching material from a substrate in a surface processing tool having a processing chamber, a controller and one or more devices for adjusting the process parameters within the chamber. The method comprises: the controller instructing the one or more devices according to a series of control steps, each control step specifying a defined set of process parameters that the one or more devices are instructed to implement, wherein at least one of the control steps comprises the controller instructing the one or more devices to implement a defined set of constant process parameters for the duration of the step, including at least a chamber pressure and gas flow rate through the chamber, which duration is less than the corresponding gas residence time (Tgr) of the processing chamber for the step.
    Type: Application
    Filed: February 27, 2013
    Publication date: January 8, 2015
    Inventors: Mark Edward McNie, Michael Joseph Cooke, Leslie Michael Lea
  • Publication number: 20150008213
    Abstract: An inductively coupled plasma source for a focused charged particle beam system includes a conductive shield within the plasma chamber in order to reduce capacitative coupling to the plasma. The internal conductive shield is maintained at substantially the same potential as the plasma source by a biasing electrode or by the plasma. The internal shield allows for a wider variety of cooling methods on the exterior of the plasma chamber.
    Type: Application
    Filed: July 7, 2014
    Publication date: January 8, 2015
    Applicant: FEI Company
    Inventors: Thomas G. Miller, Shouyin Zhang
  • Patent number: 8926757
    Abstract: The plasma reactor defines a reaction chamber provided with a support for the metallic pieces and an anode-cathode system, and a heating means is mounted externally to said plasma reactor. The plasma process, for a cleaning operation, includes the steps of connecting the support to the grounded anode and the cathode to a negative potential of a power source; feeding an ionizable gaseous charge into the reaction chamber and heating the latter at vaporization temperatures of piece contaminants; applying an electrical discharge to the cathode; and providing the exhaustion of the gaseous charge and contaminants. A subsequent heat treatment includes the steps of: inverting the energization polarity of the anode-cathode system; feeding a new gaseous charge to the reaction chamber and maintaining it heated; applying an electrical discharge to the cathode; and exhausting the gaseous charge from the reaction chamber.
    Type: Grant
    Filed: June 9, 2009
    Date of Patent: January 6, 2015
    Assignee: Whirlpool S. A.
    Inventors: Roberto Binder, Aloisio Nelmo Klein, Cristiano Binder, Gisele Hammes
  • Patent number: 8926850
    Abstract: Plasma processing with enhanced charge neutralization and process control is disclosed. In accordance with one exemplary embodiment, the plasma processing may be achieved as a method of plasma processing a substrate. The method may comprise providing the substrate proximate a plasma source; applying to the plasma source a first RF power level during a first period and a second RF power level during a second period, the first and second RF power levels being greater than zero RF power level, wherein the second RF power level is greater than the first RF power level; generating with the plasma source a first plasma during the first period and a second plasma during the second period; and applying to the substrate a first bias voltage during the first period and a second bias voltage during the second period, wherein the first voltage has more negative potential than the second voltage.
    Type: Grant
    Filed: December 7, 2012
    Date of Patent: January 6, 2015
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Vikram Singh, Timothy J. Miller, Bernard G. Lindsay
  • Publication number: 20150001180
    Abstract: A tunable ring assembly, a plasma processing chamber having a tunable ring assembly and method for tuning a plasma process is provided. In one embodiment, a tunable ring assembly includes an outer ceramic ring having an exposed top surface and a bottom surface and an inner silicon ring configured to mate with the outer ceramic ring to define an overlap region, the inner silicon ring having an inner surface, a top surface and a notch formed between the inner surface and the top surface, the inner surface defining an inner diameter of the ring assembly, the notch is sized to accept an edge of a substrate, an outer portion of the top surface of the inner silicon ring configured to contact in the overlap region and underlying an inner portion of the bottom surface of the outer ceramic ring.
    Type: Application
    Filed: September 6, 2013
    Publication date: January 1, 2015
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Kenny Linh DOAN, Jason Della ROSA, Hamid NOORBAKHSH, Jong Mun KIM
  • Patent number: 8920667
    Abstract: The invention provides a chemical-mechanical polishing composition and a method of chemically-mechanically polishing a substrate with the chemical-mechanical polishing composition. The polishing composition comprises (a) abrasive particles, wherein the abrasive particles comprise zirconia, (b) at least one metal ion oxidizer, wherein the at least one metal ion oxidizer comprises metal ions of Co3+, Au+, Ag+, Pt2+, Hg2+, Cr3+, Fe3+, Ce4+, or Cu2+, and (c) an aqueous carrier, wherein the pH of the chemical-mechanical polishing composition is in the range of about 1 to about 7, and wherein the chemical-mechanical polishing composition does not contain a peroxy-type oxidizer.
    Type: Grant
    Filed: January 30, 2013
    Date of Patent: December 30, 2014
    Assignee: Cabot Microelectronics Corporation
    Inventors: Lin Fu, Steven Grumbine, Matthias Stender
  • Patent number: 8921229
    Abstract: A method of polishing copper wiring surfaces of in ultra large scale integrated circuit, the method including: a) preparing a polishing solution including between 35 and 80 w. % of a nano SiO2 abrasive, between 12 and 60 w. % of deionized water, between 1 and 3 w. % of an oxidant, between 1 and 4 w. % of an active agent, and between 0.5 and 1.5 w. % of a chelating agent; and b) polishing using the polishing solution under following conditions: between 2 and 5 kPa pressure; between 20 and 50° C.; between 120 and 250 mL/min slurry flow rate; and at between 30 and 60 rpm/min rotational speed.
    Type: Grant
    Filed: August 22, 2012
    Date of Patent: December 30, 2014
    Inventors: Yuling Liu, Xiaoyan Liu, Jun Tian
  • Patent number: 8921234
    Abstract: Methods of etching exposed titanium nitride with respect to other materials on patterned heterogeneous structures are described, and may include a remote plasma etch formed from a fluorine-containing precursor. Precursor combinations including plasma effluents from the remote plasma are flowed into a substrate processing region to etch the patterned structures with high titanium nitride selectivity under a variety of operating conditions. The methods may be used to remove titanium nitride at faster rates than a variety of metal, nitride, and oxide compounds.
    Type: Grant
    Filed: March 8, 2013
    Date of Patent: December 30, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Jie Liu, Jingchun Zhang, Anchuan Wang, Nitin K. Ingle, Seung Park, Zhijun Chen, Ching-Mei Hsu
  • Patent number: 8920665
    Abstract: In a plasma processing apparatus including a processing room disposed in a vacuum vessel, a sample stage located in the processing room, a dielectric film disposed on the top surface of the sample stage and serving as the sample mounting surface of the sample stage, and a plurality of electrodes embedded in the dielectric film for chucking the sample to the dielectric film when supplied with electric power, a part of the sample is chucked by supplying electric power to at least one of the electrodes while the sample is mounted on the sample stage; the sample is heated up to a predetermined temperature; a larger part of the sample is chucked by supplying electric power to the other of the electrodes; and the processing of the sample using the plasma is initiated.
    Type: Grant
    Filed: September 4, 2012
    Date of Patent: December 30, 2014
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Kohei Sato, Kazunori Nakamoto, Yutaka Omoto
  • Patent number: 8924001
    Abstract: Based on a model for determining optical emission intensity values Y at wavelengths from actuator values X of an etching apparatus, X is calculated from Y to achieve preferable Run-to-Run control over Y. A relation between X and Y is defined as a control model (matrix model C1, ratio-constraint model C2) based on an algebraical expression with ?X as an input and ?Y as an output. In etching process control, ?X (manipulated volume) is calculated from ?Y (controlled volume) using the control model, based on a non-control optical emission intensity value Y, to set X (S1) for the etching process, during which Y is monitored. Based on an actual value for Y, a non-control optical emission intensity value Y to be used in the next wafer etching process is calculated.
    Type: Grant
    Filed: December 3, 2010
    Date of Patent: December 30, 2014
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Toshihiro Morisawa, Daisuke Shiraishi, Satomi Inoue, Akira Kagoshima
  • Patent number: 8916479
    Abstract: Provided are methods for processing semiconductor substrates having titanium nitride (TiN) structures as well as aluminum (Al) structures and, in some embodiments, other structures, such as silicon germanium (SiGe), tantalum nitride (TaN), hafnium oxide (HfOx), silicon nitride (SiN), and/or silicon oxide (SiO2) structures. Etching solutions and processing conditions described herein provide high etching selectivity of titanium nitride relative to these other materials. As such, the titanium nitride structures can be removed (partially or completely) without significant damage to these other structures. In some embodiments, the etching rate of titanium nitride is at least about 200 Angstroms per minute and even at least about 350 Angstroms per minute, while the etching rate of aluminum and/or other materials is less than 15 Angstroms per minute. An etching solution may be kept at 40° C. to 65° C. and may include ammonium hydroxide and hydrogen peroxide (between 1:600 and 1:3,000 by weight).
    Type: Grant
    Filed: June 10, 2013
    Date of Patent: December 23, 2014
    Assignee: Intermolecular, Inc.
    Inventor: Gregory Nowling
  • Patent number: 8916056
    Abstract: A plasma processing apparatus includes a process chamber housing defining a process chamber, a platen positioned in the process chamber for supporting a workpiece, a source configured to generate plasma in the process chamber, and a biasing system. The biasing system is configured to bias the platen to attract ions from the plasma towards the workpiece during a first processing time interval and configured to bias the platen to repel ions from the platen towards interior surfaces of the process chamber housing during a cleaning time interval. The cleaning time interval is separate from the first processing time interval and occurring after the first processing time interval.
    Type: Grant
    Filed: October 11, 2012
    Date of Patent: December 23, 2014
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Bon-Woong Koo, Richard M. White
  • Patent number: 8916477
    Abstract: Provided are methods and systems for removing polysilicon on a wafer. A wafer can include a polysilicon layer and an exposed nitride and/or oxide structure. An etchant with a hydrogen-based species, such as hydrogen gas, and a fluorine-based species, such as nitrogen trifluoride, can be introduced. The hydrogen-based species and the fluorine-based species can be activated with a remote plasma source. The layer of polysilicon on the wafer can be removed at a selectivity over the exposed nitride and/or oxide structure that is greater than about 500:1.
    Type: Grant
    Filed: June 12, 2013
    Date of Patent: December 23, 2014
    Assignee: Novellus Systems, Inc.
    Inventors: Bayu Thedjoisworo, Jack Kuo, David Cheung, Joon Park
  • Patent number: 8916054
    Abstract: A stack of a hard mask layer, a soft mask layer, and a photoresist is formed on a substrate. The photoresist is patterned to include at least one opening. The pattern is transferred into the soft mask layer by an anisotropic etch, which forms a carbon-rich polymer that includes more carbon than fluorine. The carbon-rich polymer can be formed by employing a fluorohydrocarbon-containing plasma generated with fluorohydrocarbon molecules including more hydrogen than fluorine. The carbon-rich polymer coats the sidewalls of the soft mask layer, and prevents widening of the pattern transferred into the soft mask. The photoresist is subsequently removed, and the pattern in the soft mask layer is transferred into the hard mask layer. Sidewalls of the hard mask layer are coated with the carbon-rich polymer to prevent widening of the pattern transferred into the hard mask.
    Type: Grant
    Filed: October 26, 2011
    Date of Patent: December 23, 2014
    Assignees: International Business Machines Corporation, Zeon Corporation
    Inventors: Markus Brink, Sebastian U. Engelmann, Nicholas C. M. Fuller, Michael A. Guillorn, Hiroyuki Miyazoe, Masahiro Nakamura
  • Publication number: 20140367359
    Abstract: A method for controlling in-plane uniformity of a substrate processed by plasma-assisted process in a reactor, includes: supplying a principal gas to a reaction space, and discharging radially the principal gas from the reaction space through an annular duct; and supplying an secondary gas to the reaction space from an area in close proximity to an outer periphery of a susceptor, outside an outer circumference of the substrate as viewed from above, so as to flow at least partially in an inward direction passing the outer circumference of the substrate, reversing the direction of the secondary gas to flow toward the annular duct in a vicinity of the outer circumference of the substrate, and discharging radially the secondary gas together with the principal gas from the reaction space through the annular duct.
    Type: Application
    Filed: June 12, 2013
    Publication date: December 18, 2014
    Inventors: Ryu Nakano, Naoki Inoue
  • Patent number: 8911559
    Abstract: A method for cleaning an etching chamber is disclosed. The method comprises providing an etching chamber; introducing a first gas comprising an inert gas into the etching chamber for a first period of time; and transporting a first wafer into the etching chamber after the first period of time, wherein the first wafer undergoes an etching process.
    Type: Grant
    Filed: May 8, 2009
    Date of Patent: December 16, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu Chao Lin, Ryan Chia-Jen Chen, Yih-Ann Lin, Jr Jung Lin
  • Patent number: 8911637
    Abstract: A method for processing a substrate in a capacitively-coupled plasma processing system having a plasma processing chamber and at least an upper electrode and a lower electrode. The substrate is disposed on the lower electrode during plasma processing. The method includes providing at least a first RF signal, which has a first RF frequency, to the lower electrode. The first RF signal couples with a plasma in the plasma processing chamber, thereby inducing an induced RF signal on the upper electrode. The method also includes providing a second RF signal to the upper electrode. The second RF signal also has the first RF frequency. A phase of the second RF signal is offset from a phase of the first RF signal by a value that is less than 10%. The method further includes processing the substrate while the second RF signal is provided to the upper electrode.
    Type: Grant
    Filed: August 22, 2012
    Date of Patent: December 16, 2014
    Assignee: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Hudson Eric, Alexei Marakhtanov, Andreas Fischer
  • Patent number: 8911588
    Abstract: Methods and apparatus for modifying RF current path lengths are disclosed. Apparatus includes a plasma processing system having an RF power supply and a lower electrode having a conductive portion. There is included an insulative component disposed in an RF current path between the RF power supply and the conductive portion. There are included a plurality of RF path modifiers disposed within the insulative component, the plurality of RF path modifiers being disposed at different angular positions relative to a reference angle drawn from a center of the insulative component, whereby at least a first one of the plurality of RF path modifiers is electrically connected to the conductive portion and at least a second one of the plurality of the plurality of RF path modifiers is not electrically connected to the conductive portion.
    Type: Grant
    Filed: March 19, 2012
    Date of Patent: December 16, 2014
    Assignee: Lam Research Corporation
    Inventors: Sang Ki Nam, Rajinder Dhindsa, Alexei Marakhtanov
  • Publication number: 20140363587
    Abstract: Disclosed is a substrate processing apparatus and method which facilitate to improve uniformity of thin film material and also facilitate to control quality of thin film by the use of plasma forming space and source gas distributing space separately provided from each other, wherein the substrate processing apparatus includes a process chamber; a substrate support for supporting a plurality of substrates, the substrate support rotatably provided inside the process chamber; and a electrode unit arranged above the substrate support and provided with the plasma forming space and the source gas distributing space, wherein the plasma forming space is spatially separated from the source gas distributing space.
    Type: Application
    Filed: December 21, 2012
    Publication date: December 11, 2014
    Applicant: JUSUNG ENGINEERING CO., LTD.
    Inventors: Song Whe Huh, Jeung Hoon Han
  • Patent number: 8906248
    Abstract: A method etching features through a stack of a silicon nitride layer over a silicon layer over a silicon oxide layer in a plasma processing chamber is provided. The silicon nitride layer is etched in the plasma processing chamber, comprising; flowing a silicon nitride etch gas; forming the silicon nitride etch gas into a plasma to etch the silicon nitride layer, and stopping the flow of the silicon nitride etch gas. The silicon layer is, comprising flowing a silicon etch gas, wherein the silicon etch gas comprises SF6 or SiF4, forming the silicon etch gas into a, and stopping the flow of the silicon etch gas. The silicon oxide layer is etched in the plasma processing chamber, comprising flowing a silicon oxide etch gas, forming the silicon oxide etch gas into a plasma, and stopping the flow of the silicon oxide etch gas.
    Type: Grant
    Filed: December 13, 2011
    Date of Patent: December 9, 2014
    Assignee: Lam Research Corporation
    Inventors: Siyi Li, Robert C. Hefty, Mark Todhunter Robson, James R. Bowers, Audrey Charles
  • Patent number: 8906810
    Abstract: An all-in-one trench-over-via etch wherein etching of a low-k material beneath a metal hard mask of titanium nitride containing material is carried out in alternating steps of (a) etching the low-k material while maintaining chuck temperature at about 45 to 80° C. and (b) metal hard mask rounding and Ti-based residues removal while maintaining chuck temperature at about 90 to 130° C.
    Type: Grant
    Filed: May 7, 2013
    Date of Patent: December 9, 2014
    Assignee: Lam Research Corporation
    Inventors: Ananth Indrakanti, Bhaskar Nagabhirava, Alan Jensen, Tom Choi
  • Patent number: 8901007
    Abstract: The present disclosure is directed to a method of manufacturing a semiconductor structure in which a low-k dielectric layer is formed over a semiconductor substrate. Features can be formed proximate to the low-k dielectric layer by plasma etching with a plasma formed of a mixture of a CO2, CO, or carboxyl-containing source gas and a fluorine-containing source gas. The method allows for formation of damascene structures without encountering the problems associated with damage to a low-K dielectric layer.
    Type: Grant
    Filed: January 3, 2013
    Date of Patent: December 2, 2014
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Cheng-Hsiung Tsai, Chung-Ju Lee, Sunil Kumar Singh, Tien-I Bao
  • Patent number: 8900471
    Abstract: Methods and apparatus for in-situ plasma cleaning of a deposition chamber are provided. In one embodiment a method for plasma cleaning a deposition chamber without breaking vacuum is provided. The method comprises positioning a substrate on a susceptor disposed in the chamber and circumscribed by an electrically floating deposition ring, depositing a metal film on the substrate and the deposition ring in the chamber, grounding the metal film deposited on the deposition ring without breaking vacuum, and removing contaminants from the chamber with a plasma formed in the chamber without resputtering the metal film on the grounded deposition ring and without breaking vacuum.
    Type: Grant
    Filed: February 16, 2010
    Date of Patent: December 2, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Richard J. Green, Cheng-hsiung Tsai, Shambhu N. Roy, Puneet Bajaj, David H. Loo
  • Patent number: 8900402
    Abstract: A semiconductor substrate processing system includes a substrate support defined to support a substrate in exposure to a processing region. The system also includes a first plasma chamber defined to generate a first plasma and supply reactive constituents of the first plasma to the processing region. The system also includes a second plasma chamber defined to generate a second plasma and supply reactive constituents of the second plasma to the processing region. The first and second plasma chambers are defined to be independently controlled.
    Type: Grant
    Filed: May 10, 2011
    Date of Patent: December 2, 2014
    Assignee: Lam Research Corporation
    Inventors: John Patrick Holland, Peter L. G. Ventzek, Harmeet Singh, Richard Gottscho